Browse Source

added software

subDesTagesMitExtraKaese 3 years ago
parent
commit
2f8ff35085

+ 1 - 0
.gitignore

@@ -65,6 +65,7 @@ simulation/
 timing/
 testbench/
 *_sim/
+.qsys_edit/
 
 # ignore eclipse temp files
 obj/

+ 0 - 2
.qsys_edit/filters.xml

@@ -1,2 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<filters version="18.1" />

File diff suppressed because it is too large
+ 0 - 2167
.qsys_edit/nios2_uc.xml


+ 0 - 28
.qsys_edit/nios2_uc_schematic.nlv

@@ -1,28 +0,0 @@
-# # File gsaved with Nlview version 6.3.8  2013-12-19 bk=1.2992 VDI=34 GEI=35
-# 
-preplace inst nios2_uc.pio_BUTTON -pg 1 -lvl 3 -y 360
-preplace inst nios2_uc.nios2.clock_bridge -pg 1
-preplace inst nios2_uc -pg 1 -lvl 1 -y 40 -regy -20
-preplace inst nios2_uc.nios_custom_instr_floating_point_0 -pg 1 -lvl 3 -y 30
-preplace inst nios2_uc.lcd_16207 -pg 1 -lvl 3 -y 680
-preplace inst nios2_uc.nios2.reset_bridge -pg 1
-preplace inst nios2_uc.clk_50 -pg 1 -lvl 1 -y 300
-preplace inst nios2_uc.nios2 -pg 1 -lvl 2 -y 90
-preplace inst nios2_uc.pio_MATRIX -pg 1 -lvl 3 -y 480
-preplace inst nios2_uc.pio_LED -pg 1 -lvl 3 -y 260
-preplace inst nios2_uc.onchip_memory2 -pg 1 -lvl 3 -y 70
-preplace inst nios2_uc.nios2.cpu -pg 1
-preplace inst nios2_uc.jtag_uart -pg 1 -lvl 3 -y 150
-preplace netloc FAN_OUT<net_container>nios2_uc</net_container>(MASTER)nios2.irq,(SLAVE)jtag_uart.irq,(SLAVE)pio_BUTTON.irq) 1 2 1 760
-preplace netloc FAN_OUT<net_container>nios2_uc</net_container>(SLAVE)pio_MATRIX.clk,(SLAVE)onchip_memory2.clk1,(SLAVE)jtag_uart.clk,(SLAVE)lcd_16207.clk,(SLAVE)pio_BUTTON.clk,(SLAVE)pio_LED.clk,(MASTER)clk_50.clk,(SLAVE)nios2.clk) 1 1 2 340 530 780
-preplace netloc INTERCONNECT<net_container>nios2_uc</net_container>(MASTER)nios2.instruction_master,(SLAVE)jtag_uart.avalon_jtag_slave,(MASTER)nios2.data_master,(SLAVE)onchip_memory2.s1,(SLAVE)pio_MATRIX.s1,(SLAVE)lcd_16207.control_slave,(SLAVE)nios2.debug_mem_slave,(SLAVE)pio_LED.s1,(SLAVE)pio_BUTTON.s1) 1 1 2 380 50 740
-preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.pio_button_ext_conn,(SLAVE)pio_BUTTON.external_connection) 1 0 3 NJ 390 NJ 390 NJ
-preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)lcd_16207.external,(SLAVE)nios2_uc.lcd_16207_ext) 1 0 3 NJ 730 NJ 730 NJ
-preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.clk,(SLAVE)clk_50.clk_in) 1 0 1 NJ
-preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.pio_matrix_ext_conn,(SLAVE)pio_MATRIX.external_connection) 1 0 3 NJ 510 NJ 510 NJ
-preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)pio_LED.external_connection,(SLAVE)nios2_uc.pio_led_ext_conn) 1 0 3 NJ 370 NJ 290 NJ
-preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.reset,(SLAVE)clk_50.clk_in_reset) 1 0 1 NJ
-preplace netloc INTERCONNECT<net_container>nios2_uc</net_container>(SLAVE)onchip_memory2.reset1,(SLAVE)pio_LED.reset,(SLAVE)nios2.reset,(SLAVE)pio_MATRIX.reset,(SLAVE)pio_BUTTON.reset,(SLAVE)jtag_uart.reset,(SLAVE)lcd_16207.reset,(MASTER)clk_50.clk_reset,(MASTER)nios2.debug_reset_request) 1 1 2 360 750 800
-preplace netloc POINT_TO_POINT<net_container>nios2_uc</net_container>(MASTER)nios2.custom_instruction_master,(SLAVE)nios_custom_instr_floating_point_0.s1) 1 2 1 760
-levelinfo -pg 1 0 130 1050
-levelinfo -hier nios2_uc 140 170 500 870 1020

+ 0 - 14
.qsys_edit/preferences.xml

@@ -1,14 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<preferences>
- <debug showDebugMenu="0" />
- <systemtable filter="All Interfaces">
-  <columns>
-   <connections preferredWidth="47" />
-   <irq preferredWidth="34" />
-  </columns>
- </systemtable>
- <library expandedCategories="Library,Project" />
- <window width="1280" height="1024" x="1280" y="379" />
- <hdlexample language="VHDL" />
- <generation synthesis="VHDL" />
-</preferences>

+ 1 - 1
myfirst_niosii.vhd

@@ -56,7 +56,7 @@ begin
 
 
 	matrix_set: process(clk, rst)
-	variable col_id : integer range 0 to 7;
+	variable col_id : integer range 0 to 8;
 	begin
 		if rst = '0' then
 			matrix_s <= (

+ 2 - 2
nios2_uc.sopcinfo

@@ -1,11 +1,11 @@
 <?xml version="1.0" encoding="UTF-8"?>
 <EnsembleReport name="nios2_uc" kind="nios2_uc" version="1.0" fabric="QSYS">
  <!-- Format version 18.1 625 (Future versions may contain additional information.) -->
- <!-- 2020.12.03.17:19:07 -->
+ <!-- 2020.12.08.21:14:28 -->
  <!-- A collection of modules and connections -->
  <parameter name="AUTO_GENERATION_ID">
   <type>java.lang.Integer</type>
-  <value>1607012346</value>
+  <value>1607458467</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>false</visible>

File diff suppressed because it is too large
+ 313 - 314
nios2_uc/nios2_uc.xml


+ 3 - 3
nios2_uc/synthesis/nios2_uc.debuginfo

@@ -1,7 +1,7 @@
 <?xml version="1.0" encoding="UTF-8"?>
 <EnsembleReport name="nios2_uc" kind="system" version="18.1" fabric="QSYS">
  <!-- Format version 18.1 625 (Future versions may contain additional information.) -->
- <!-- 2020.12.03.17:19:13 -->
+ <!-- 2020.12.08.21:15:15 -->
  <!-- A collection of modules and connections -->
  <parameter name="clockCrossingAdapter">
   <type>com.altera.sopcmodel.ensemble.EClockAdapter</type>
@@ -53,7 +53,7 @@
  </parameter>
  <parameter name="generationId">
   <type>int</type>
-  <value>1607012346</value>
+  <value>1607458467</value>
   <derived>false</derived>
   <enabled>true</enabled>
   <visible>true</visible>
@@ -16051,5 +16051,5 @@ parameters are a RESULT of the module parameters. -->
   <version>18.1</version>
  </plugin>
  <reportVersion>18.1 625</reportVersion>
- <uniqueIdentifier>2CF05D97AE2100000176296671D7</uniqueIdentifier>
+ <uniqueIdentifier>DC5360AEA9700000017643FDCA38</uniqueIdentifier>
 </EnsembleReport>

+ 7 - 7
nios2_uc/synthesis/nios2_uc.qip

@@ -2,7 +2,7 @@ set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_TOOL_NAME
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_TOOL_VERSION "18.1"
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_TOOL_ENV "Qsys"
 set_global_assignment -library "nios2_uc" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../nios2_uc.sopcinfo"]
-set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name SLD_INFO "QSYS_NAME nios2_uc HAS_SOPCINFO 1 GENERATION_ID 1607012346"
+set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name SLD_INFO "QSYS_NAME nios2_uc HAS_SOPCINFO 1 GENERATION_ID 1607458467"
 set_global_assignment -library "nios2_uc" -name MISC_FILE [file join $::quartus(qip_path) "../nios2_uc.cmp"]
 set_global_assignment -library "nios2_uc" -name SLD_FILE [file join $::quartus(qip_path) "nios2_uc.regmap"]
 set_global_assignment -library "nios2_uc" -name SLD_FILE [file join $::quartus(qip_path) "nios2_uc.debuginfo"]
@@ -16,7 +16,7 @@ set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_REPORT_HIERARCHY "On"
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_INTERNAL "Off"
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_VERSION "MS4w"
-set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYwNzAxMjM0Ng==::QXV0byBHRU5FUkFUSU9OX0lE"
+set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYwNzQ1ODQ2Nw==::QXV0byBHRU5FUkFUSU9OX0lE"
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ"
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U="
 set_global_assignment -entity "nios2_uc" -library "nios2_uc" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
@@ -1031,14 +1031,14 @@ set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quart
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/fpoint_qsys.v"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/fpoint_hw_qsys.v"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2.v"]
-set_global_assignment -library "nios2_uc" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"]
-set_global_assignment -library "nios2_uc" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"]
-set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"]
-set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"]
 set_global_assignment -library "nios2_uc" -name SDC_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu.sdc"]
-set_global_assignment -library "nios2_uc" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu.v"]
+set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"]
+set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"]
+set_global_assignment -library "nios2_uc" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"]
+set_global_assignment -library "nios2_uc" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"]
+set_global_assignment -library "nios2_uc" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_nios2_cpu_test_bench.v"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_lcd_16207.v"]
 set_global_assignment -library "nios2_uc" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nios2_uc_jtag_uart.v"]

+ 1 - 1
nios2_uc/synthesis/nios2_uc.regmap

@@ -1,4 +1,4 @@
-<?xml version="1.0" encoding="UTF-8"?>
+<?xml version="1.0"?>
 <device xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" schemaVersion="1.0" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_0.xsd">
 <name>nios2_uc</name>
 <peripherals>

+ 2 - 2
output_files/myfirst_niosii.cdf

@@ -4,10 +4,10 @@ JedecChain;
 	DefaultMfr(6E);
 
 	P ActionCode(Cfg)
-		Device PartName(EP4CE115F29) Path("/home/user/Google-Drive/Hochschule-Anhalt/HS-Codesign/pong_20201203/output_files/") File("myfirst_niosii.sof") MfrSpec(OpMask(1));
+		Device PartName(EPCS64) Path("E:/Data/quartus/pong_20201203/output_files/") File("output_file.pof") MfrSpec(OpMask(3) Child_OpMask(1 3));
 
 ChainEnd;
 
 AlteraBegin;
-	ChainType(JTAG);
+	ChainType(asc);
 AlteraEnd;

+ 1 - 1
output_files/myfirst_niosii.sld

@@ -2,7 +2,7 @@
   <sld_infos>
     <sld_info hpath="nios2_uc:u0" name="u0">
       <assignment_values>
-        <assignment_value text="QSYS_NAME nios2_uc HAS_SOPCINFO 1 GENERATION_ID 1607012346"/>
+        <assignment_value text="QSYS_NAME nios2_uc HAS_SOPCINFO 1 GENERATION_ID 1607458467"/>
       </assignment_values>
     </sld_info>
     <sld_info hpath="sld_hub:auto_hub|alt_sld_fab:\instrumentation_fabric_with_node_gen:instrumentation_fabric" library="alt_sld_fab" name="instrumentation_fabric">

+ 15 - 0
output_files/new_output_file.map

@@ -0,0 +1,15 @@
+BLOCK		START ADDRESS		END ADDRESS
+
+Page_0		0x00000000		0x00367F05
+
+
+Configuration device: EPCS64
+Configuration mode: Active Serial
+Quad-Serial configuration device dummy clock cycle: 8
+
+
+Notes:
+
+- Data checksum for this conversion is 0x49D5E4B4
+
+- All the addresses in this file are byte addresses

+ 15 - 0
output_files/output_file.map

@@ -0,0 +1,15 @@
+BLOCK		START ADDRESS		END ADDRESS
+
+Page_0		0x00000000		0x00367F05
+
+
+Configuration device: EPCS64
+Configuration mode: Active Serial
+Quad-Serial configuration device dummy clock cycle: 8
+
+
+Notes:
+
+- Data checksum for this conversion is 0x49DFF2C9
+
+- All the addresses in this file are byte addresses

+ 20 - 20
software/Pong_Code/.cproject

@@ -1,32 +1,32 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no"?>
 <?fileVersion 4.0.0?><cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
 	<storageModule moduleId="org.eclipse.cdt.core.settings">
-		<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442">
-			<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442" moduleId="org.eclipse.cdt.core.settings"/>
+		<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057">
+			<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057" moduleId="org.eclipse.cdt.core.settings"/>
 		</buildSystem>
-		<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442">
+		<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057">
 			<storageModule moduleId="cdtBuildSystem" version="4.0.0">
-				<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
-					<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442." name="/" resourcePath="">
-						<toolChain id="altera.nios2.mingw.gcc4.473051492" name="MinGW Nios II GCC4" superClass="altera.nios2.mingw.gcc4">
-							<targetPlatform id="altera.nios2.mingw.gcc4.2018738263" name="Nios II" superClass="altera.nios2.mingw.gcc4"/>
-							<builder buildPath="${workspace_loc://Pong_Code}" id="altera.tool.gnu.builder.mingw.1869407986" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder.mingw"/>
-							<tool id="altera.tool.gnu.c.compiler.mingw.1377364548" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler.mingw">
-								<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.352542033" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
+				<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
+					<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057." name="/" resourcePath="">
+						<toolChain id="altera.nios2.mingw.gcc4.1511231006" name="MinGW Nios II GCC4" superClass="altera.nios2.mingw.gcc4">
+							<targetPlatform id="altera.nios2.mingw.gcc4.133931506" name="Nios II" superClass="altera.nios2.mingw.gcc4"/>
+							<builder buildPath="${workspace_loc://Pong_Code}" id="altera.tool.gnu.builder.mingw.1287521314" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder.mingw"/>
+							<tool id="altera.tool.gnu.c.compiler.mingw.184660652" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler.mingw">
+								<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.243231967" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
 							</tool>
-							<tool id="altera.tool.gnu.cpp.compiler.mingw.918704513" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler.mingw">
-								<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1845488665" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
+							<tool id="altera.tool.gnu.cpp.compiler.mingw.1320736836" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler.mingw">
+								<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1444903554" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
 							</tool>
-							<tool id="altera.tool.gnu.archiver.mingw.2001113946" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver.mingw"/>
-							<tool id="altera.tool.gnu.c.linker.mingw.1161957800" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker.mingw"/>
-							<tool id="altera.tool.gnu.assembler.mingw.707900768" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler.mingw">
-								<inputType id="cdt.managedbuild.tool.gnu.assembler.input.1500139581" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
+							<tool id="altera.tool.gnu.archiver.mingw.377118727" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver.mingw"/>
+							<tool id="altera.tool.gnu.c.linker.mingw.903687127" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker.mingw"/>
+							<tool id="altera.tool.gnu.assembler.mingw.616639456" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler.mingw">
+								<inputType id="cdt.managedbuild.tool.gnu.assembler.input.1127219869" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
 							</tool>
 						</toolChain>
 					</folderInfo>
 				</configuration>
 			</storageModule>
-			<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
+			<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
 				<externalSettings/>
 				<extensions>
 					<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
@@ -40,15 +40,15 @@
 		</cconfiguration>
 	</storageModule>
 	<storageModule moduleId="cdtBuildSystem" version="4.0.0">
-		<project id="Pong_Code.null.277900407" name="Pong_Code"/>
+		<project id="Pong_Code.null.1281428193" name="Pong_Code"/>
 	</storageModule>
 	<storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>
 	<storageModule moduleId="scannerConfiguration">
 		<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
-		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442.;altera.tool.gnu.c.compiler.mingw.1377364548;cdt.managedbuild.tool.gnu.c.compiler.input.352542033">
+		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057.;altera.tool.gnu.c.compiler.mingw.184660652;cdt.managedbuild.tool.gnu.c.compiler.input.243231967">
 			<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
 		</scannerConfigBuildInfo>
-		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442.;altera.tool.gnu.cpp.compiler.mingw.918704513;cdt.managedbuild.tool.gnu.cpp.compiler.input.1845488665">
+		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057.;altera.tool.gnu.cpp.compiler.mingw.1320736836;cdt.managedbuild.tool.gnu.cpp.compiler.input.1444903554">
 			<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
 		</scannerConfigBuildInfo>
 	</storageModule>

+ 1 - 1
software/Pong_Code/.settings/language.settings.xml

@@ -1,6 +1,6 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no"?>
 <project>
-	<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.825844442" name="Nios II">
+	<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.924594057" name="Nios II">
 		<extension point="org.eclipse.cdt.core.LanguageSettingsProvider">
 			<provider class="com.altera.sbtgui.project.importer.Nios2GCCBuiltinSpecsDetector" console="false" env-hash="-963560016336687372" id="altera.tool.Nios2GCCBuiltinSpecsDetector" keep-relative-paths="false" name="Nios II GCC Built-in Compiler Settings" parameter="${COMMAND} ${FLAGS} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
 				<language-scope id="org.eclipse.cdt.core.gcc"/>

+ 8 - 9
software/Pong_Code/Display.h

@@ -12,14 +12,6 @@
 
 int screen[ROWS];
 
-void draw() {
-	int row,i;
-	for (i = 0 ; i < ROWS ; i++) {
-		row = screen[i] | (i<<12); //send format: (3bits row indicator,12bits data)
-		IOWR_ALTERA_AVALON_PIO_DATA(PIO_MATRIX_BASE, row);
-	}
-}
-
 void bin(unsigned n)
 {
     if (n > 1)
@@ -28,6 +20,14 @@ void bin(unsigned n)
 }
 
 
+void draw() {
+	int row,i,j;
+	for (i=0 ; i<ROWS ; i++) {
+		row = screen[i] | ((i+1)<<12); //send format: (4bits row indicator,12bits data)
+		IOWR_ALTERA_AVALON_PIO_DATA(PIO_MATRIX_BASE, row);
+	}
+}
+
 void draw_console() {
 	int i;
 	for (i = 0 ; i < ROWS ; i++) {
@@ -44,7 +44,6 @@ void reset_screen() {
 	int i;
 	for (i=0;i<ROWS;i++) {
 		screen[i] = 0;
-		set_pixel(12,i);
 	}
 
 }

+ 22 - 24
software/Pong_Code/Main.c

@@ -7,12 +7,12 @@
 #include "structs.h"
 
 
-#define ACCELERATION 0.1f
-#define TICK 0.01f
-#define LEFT_UP_BUTTON 0
-#define LEFT_DOWN_BUTTON 1
-#define RIGTH_UP_BUTTON 2
-#define RIGTH_DOWN_BUTTON 3
+#define ACCELERATION 0.001f
+#define TICK 0.001f
+#define LEFT_UP_BUTTON 1
+#define LEFT_DOWN_BUTTON 0
+#define RIGTH_UP_BUTTON 3
+#define RIGTH_DOWN_BUTTON 2
 
 #define MIN(a,b) (((a)<(b))?(a):(b))
 #define MAX(a,b) (((a)>(b))?(a):(b))
@@ -69,22 +69,22 @@ void game() {
 		ball.x = MAX(MIN(ball.x + ball.vel_x * TICK,COLS - 1),0);
 
 		if (ball.x <= 1){
-			if (ball.y >= left_paddle.y && ball.y <= (left_paddle.y + left_paddle.length -1)){
+			printf("ballx: %f, ball y: %f\n",ball.x,ball.y);
+			printf("left_paddlex: %f\n",left_paddle.y);
+			if (round(ball.y) >= round(left_paddle.y) && round(ball.y) <= (round(left_paddle.y) + left_paddle.length -1)){
 				ball.vel_x = - ball.vel_x;
 			} else {
-				printf("%d ,, ballx: %f, ball y: %f",k,ball.x,ball.y);
-				printf("rigth player lost");
-				getchar();
+				printf("ballx: %f, ball y: %f\n",ball.x,ball.y);
+				printf("rigth player lost\n");
 				reset_pos();
 			}
 		}
 		if (ball.x >= COLS - 2){
-			if (ball.y >= right_paddle.y && ball.y <= (right_paddle.y + right_paddle.length -1)){
+			if (round(ball.y) >= round(right_paddle.y) && round(ball.y) <= (round(right_paddle.y) + right_paddle.length -1)){
 				ball.vel_x = - ball.vel_x;
 			} else {
-				printf("%d ,, ballx: %f, ball y: %f",k,ball.x,ball.y);
-				printf("left player lost");
-				getchar();
+				printf("ballx: %f, ball y: %f\n",ball.x,ball.y);
+				printf("left player lost\n");
 				reset_pos();
 			}
 		}
@@ -103,17 +103,15 @@ void game() {
 
 		reset_screen();
 		for (i=0;i<left_paddle.length;i++) {
-			set_pixel( COLS - 1, round(left_paddle.y) + i);
+			set_pixel( 0, round(left_paddle.y) + i);
 		}
 		for (i=0;i<right_paddle.length;i++) {
-					set_pixel(0, round(right_paddle.y) + i);
+					set_pixel(COLS - 1, round(right_paddle.y) + i);
 		}
 		set_pixel(round(ball.x),round(ball.y));
-		k++;
+		for (k=0;k<1000;k++){}
+
 		draw();
-		printf("%d ,, ballx: %f, ball y: %f",k,ball.x,ball.y);
-		//getchar();
-		//system("cls");
 	}
 }
 
@@ -124,7 +122,7 @@ void test_picture() {
 		for (i=0;i<ROWS;i++) {
 			for (j=0;j<COLS;j++) {
 				buttons = ~IORD_ALTERA_AVALON_PIO_DATA(PIO_BUTTON_BASE);
-				printf("%d,%d, set\n",j,i);
+				//printf("%d,%d, set\n",j,i);
 				if (buttons & (1<<LEFT_UP_BUTTON)){
 					printf("left button up");
 				}
@@ -141,7 +139,7 @@ void test_picture() {
 				printf("\n");
 				set_pixel(j,i);
 				draw();
-				for(k=0;k<1000000;k++) {
+				for(k=0;k<100000;k++) {
 				}
 			}
 		}
@@ -150,6 +148,6 @@ void test_picture() {
 }
 
 int main() {
-	test_picture();
-	//game();
+	//test_picture();
+	game();
 }

BIN
software/Pong_Code/Pong_Code.elf


File diff suppressed because it is too large
+ 1612 - 1650
software/Pong_Code/Pong_Code.map


File diff suppressed because it is too large
+ 18935 - 19012
software/Pong_Code/Pong_Code.objdump


+ 20 - 26
software/Pong_Code_bsp/.cproject

@@ -1,32 +1,32 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no"?>
 <?fileVersion 4.0.0?><cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
 	<storageModule moduleId="org.eclipse.cdt.core.settings">
-		<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427">
-			<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427" moduleId="org.eclipse.cdt.core.settings"/>
+		<buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239">
+			<storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239" moduleId="org.eclipse.cdt.core.settings"/>
 		</buildSystem>
-		<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427">
+		<cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239">
 			<storageModule moduleId="cdtBuildSystem" version="4.0.0">
-				<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
-					<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427." name="/" resourcePath="">
-						<toolChain id="altera.nios2.mingw.gcc4.812160986" name="MinGW Nios II GCC4" superClass="altera.nios2.mingw.gcc4">
-							<targetPlatform id="altera.nios2.mingw.gcc4.1868260901" name="Nios II" superClass="altera.nios2.mingw.gcc4"/>
-							<builder buildPath="${workspace_loc://Pong_Code_bsp}" id="altera.tool.gnu.builder.mingw.624858431" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder.mingw"/>
-							<tool id="altera.tool.gnu.c.compiler.mingw.1039355230" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler.mingw">
-								<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.641800632" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
+				<configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
+					<folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239." name="/" resourcePath="">
+						<toolChain id="altera.nios2.mingw.gcc4.1083737014" name="MinGW Nios II GCC4" superClass="altera.nios2.mingw.gcc4">
+							<targetPlatform id="altera.nios2.mingw.gcc4.662061688" name="Nios II" superClass="altera.nios2.mingw.gcc4"/>
+							<builder buildPath="${workspace_loc://Pong_Code_bsp}" id="altera.tool.gnu.builder.mingw.1577338629" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="altera.tool.gnu.builder.mingw"/>
+							<tool id="altera.tool.gnu.c.compiler.mingw.1010205750" name="Nios II GCC C Compiler" superClass="altera.tool.gnu.c.compiler.mingw">
+								<inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.792757803" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
 							</tool>
-							<tool id="altera.tool.gnu.cpp.compiler.mingw.1427045226" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler.mingw">
-								<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.33804398" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
+							<tool id="altera.tool.gnu.cpp.compiler.mingw.1714052009" name="Nios II GCC C++ Compiler" superClass="altera.tool.gnu.cpp.compiler.mingw">
+								<inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.893950326" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
 							</tool>
-							<tool id="altera.tool.gnu.archiver.mingw.1720766255" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver.mingw"/>
-							<tool id="altera.tool.gnu.c.linker.mingw.1174317394" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker.mingw"/>
-							<tool id="altera.tool.gnu.assembler.mingw.438803789" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler.mingw">
-								<inputType id="cdt.managedbuild.tool.gnu.assembler.input.1961759193" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
+							<tool id="altera.tool.gnu.archiver.mingw.293740604" name="Nios II GCC Archiver" superClass="altera.tool.gnu.archiver.mingw"/>
+							<tool id="altera.tool.gnu.c.linker.mingw.767009564" name="Nios II GCC C Linker" superClass="altera.tool.gnu.c.linker.mingw"/>
+							<tool id="altera.tool.gnu.assembler.mingw.1504860280" name="Nios II GCC Assembler" superClass="altera.tool.gnu.assembler.mingw">
+								<inputType id="cdt.managedbuild.tool.gnu.assembler.input.702896871" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
 							</tool>
 						</toolChain>
 					</folderInfo>
 				</configuration>
 			</storageModule>
-			<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
+			<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
 				<externalSettings/>
 				<extensions>
 					<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
@@ -40,23 +40,17 @@
 		</cconfiguration>
 	</storageModule>
 	<storageModule moduleId="cdtBuildSystem" version="4.0.0">
-		<project id="Pong_Code_bsp.null.1774031270" name="Pong_Code_bsp"/>
+		<project id="Pong_Code_bsp.null.351225358" name="Pong_Code_bsp"/>
 	</storageModule>
 	<storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>
 	<storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"/>
 	<storageModule moduleId="scannerConfiguration">
 		<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
-		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427.;altera.tool.gnu.c.compiler.mingw.1039355230;cdt.managedbuild.tool.gnu.c.compiler.input.641800632">
+		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239.;altera.tool.gnu.c.compiler.mingw.1010205750;cdt.managedbuild.tool.gnu.c.compiler.input.792757803">
 			<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
 		</scannerConfigBuildInfo>
-		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427.;altera.tool.gnu.cpp.compiler.mingw.1427045226;cdt.managedbuild.tool.gnu.cpp.compiler.input.33804398">
+		<scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239.;altera.tool.gnu.cpp.compiler.mingw.1714052009;cdt.managedbuild.tool.gnu.cpp.compiler.input.893950326">
 			<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
 		</scannerConfigBuildInfo>
 	</storageModule>
-	<storageModule moduleId="refreshScope" versionNumber="2">
-		<configuration configurationName="Nios II">
-			<resource resourceType="PROJECT" workspacePath="/Pong_Code_bsp"/>
-		</configuration>
-	</storageModule>
-	<storageModule moduleId="org.eclipse.cdt.internal.ui.text.commentOwnerProjectMappings"/>
 </cproject>

+ 1 - 1
software/Pong_Code_bsp/.settings/language.settings.xml

@@ -1,6 +1,6 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no"?>
 <project>
-	<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.203884427" name="Nios II">
+	<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1262908239" name="Nios II">
 		<extension point="org.eclipse.cdt.core.LanguageSettingsProvider">
 			<provider class="com.altera.sbtgui.project.importer.Nios2GCCBuiltinSpecsDetector" console="false" env-hash="-963560016336687372" id="altera.tool.Nios2GCCBuiltinSpecsDetector" keep-relative-paths="false" name="Nios II GCC Built-in Compiler Settings" parameter="${COMMAND} ${FLAGS} -E -P -v -dD &quot;${INPUTS}&quot;" prefer-non-shared="true">
 				<language-scope id="org.eclipse.cdt.core.gcc"/>

+ 2 - 2
software/Pong_Code_bsp/settings.bsp

@@ -2,8 +2,8 @@
 <sch:Settings xmlns:sch="http://www.altera.com/embeddedsw/bsp/schema">
         <BspType>hal</BspType>
         <BspVersion>default</BspVersion>
-        <BspGeneratedTimeStamp>08.12.2020 10:12:31</BspGeneratedTimeStamp>
-        <BspGeneratedUnixTimeStamp>1607418751133</BspGeneratedUnixTimeStamp>
+        <BspGeneratedTimeStamp>08.12.2020 21:15:55</BspGeneratedTimeStamp>
+        <BspGeneratedUnixTimeStamp>1607458555406</BspGeneratedUnixTimeStamp>
         <BspGeneratedLocation>E:\Data\quartus\pong_20201203\software\Pong_Code_bsp</BspGeneratedLocation>
         <BspSettingsFile>settings.bsp</BspSettingsFile>
         <SopcDesignFile>..\..\nios2_uc.sopcinfo</SopcDesignFile>