nios2_uc.debuginfo 595 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282128312841285128612871288128912901291129212931294129512961297129812991300130113021303130413051306130713081309131013111312131313141315131613171318131913201321132213231324132513261327132813291330133113321333133413351336133713381339134013411342134313441345134613471348134913501351135213531354135513561357135813591360136113621363136413651366136713681369137013711372137313741375137613771378137913801381138213831384138513861387138813891390139113921393139413951396139713981399140014011402140314041405140614071408140914101411141214131414141514161417141814191420142114221423142414251426142714281429143014311432143314341435143614371438143914401441144214431444144514461447144814491450145114521453145414551456145714581459146014611462146314641465146614671468146914701471147214731474147514761477147814791480148114821483148414851486148714881489149014911492149314941495149614971498149915001501150215031504150515061507150815091510151115121513151415151516151715181519152015211522152315241525152615271528152915301531153215331534153515361537153815391540154115421543154415451546154715481549155015511552155315541555155615571558155915601561156215631564156515661567156815691570157115721573157415751576157715781579158015811582158315841585158615871588158915901591159215931594159515961597159815991600160116021603160416051606160716081609161016111612161316141615161616171618161916201621162216231624162516261627162816291630163116321633163416351636163716381639164016411642164316441645164616471648164916501651165216531654165516561657165816591660166116621663166416651666166716681669167016711672167316741675167616771678167916801681168216831684168516861687168816891690169116921693169416951696169716981699170017011702170317041705170617071708170917101711171217131714171517161717171817191720172117221723172417251726172717281729173017311732173317341735173617371738173917401741174217431744174517461747174817491750175117521753175417551756175717581759176017611762176317641765176617671768176917701771177217731774177517761777177817791780178117821783178417851786178717881789179017911792179317941795179617971798179918001801180218031804180518061807180818091810181118121813181418151816181718181819182018211822182318241825182618271828182918301831183218331834183518361837183818391840184118421843184418451846184718481849185018511852185318541855185618571858185918601861186218631864186518661867186818691870187118721873187418751876187718781879188018811882188318841885188618871888188918901891189218931894189518961897189818991900190119021903190419051906190719081909191019111912191319141915191619171918191919201921192219231924192519261927192819291930193119321933193419351936193719381939194019411942194319441945194619471948194919501951195219531954195519561957195819591960196119621963196419651966196719681969197019711972197319741975197619771978197919801981198219831984198519861987198819891990199119921993199419951996199719981999200020012002200320042005200620072008200920102011201220132014201520162017201820192020202120222023202420252026202720282029203020312032203320342035203620372038203920402041204220432044204520462047204820492050205120522053205420552056205720582059206020612062206320642065206620672068206920702071207220732074207520762077207820792080208120822083208420852086208720882089209020912092209320942095209620972098209921002101210221032104210521062107210821092110211121122113211421152116211721182119212021212122212321242125212621272128212921302131213221332134213521362137213821392140214121422143214421452146214721482149215021512152215321542155215621572158215921602161216221632164216521662167216821692170217121722173217421752176217721782179218021812182218321842185218621872188218921902191219221932194219521962197219821992200220122022203220422052206220722082209221022112212221322142215221622172218221922202221222222232224222522262227222822292230223122322233223422352236223722382239224022412242224322442245224622472248224922502251225222532254225522562257225822592260226122622263226422652266226722682269227022712272227322742275227622772278227922802281228222832284228522862287228822892290229122922293229422952296229722982299230023012302230323042305230623072308230923102311231223132314231523162317231823192320232123222323232423252326232723282329233023312332233323342335233623372338233923402341234223432344234523462347234823492350235123522353235423552356235723582359236023612362236323642365236623672368236923702371237223732374237523762377237823792380238123822383238423852386238723882389239023912392239323942395239623972398239924002401240224032404240524062407240824092410241124122413241424152416241724182419242024212422242324242425242624272428242924302431243224332434243524362437243824392440244124422443244424452446244724482449245024512452245324542455245624572458245924602461246224632464246524662467246824692470247124722473247424752476247724782479248024812482248324842485248624872488248924902491249224932494249524962497249824992500250125022503250425052506250725082509251025112512251325142515251625172518251925202521252225232524252525262527252825292530253125322533253425352536253725382539254025412542254325442545254625472548254925502551255225532554255525562557255825592560256125622563256425652566256725682569257025712572257325742575257625772578257925802581258225832584258525862587258825892590259125922593259425952596259725982599260026012602260326042605260626072608260926102611261226132614261526162617261826192620262126222623262426252626262726282629263026312632263326342635263626372638263926402641264226432644264526462647264826492650265126522653265426552656265726582659266026612662266326642665266626672668266926702671267226732674267526762677267826792680268126822683268426852686268726882689269026912692269326942695269626972698269927002701270227032704270527062707270827092710271127122713271427152716271727182719272027212722272327242725272627272728272927302731273227332734273527362737273827392740274127422743274427452746274727482749275027512752275327542755275627572758275927602761276227632764276527662767276827692770277127722773277427752776277727782779278027812782278327842785278627872788278927902791279227932794279527962797279827992800280128022803280428052806280728082809281028112812281328142815281628172818281928202821282228232824282528262827282828292830283128322833283428352836283728382839284028412842284328442845284628472848284928502851285228532854285528562857285828592860286128622863286428652866286728682869287028712872287328742875287628772878287928802881288228832884288528862887288828892890289128922893289428952896289728982899290029012902290329042905290629072908290929102911291229132914291529162917291829192920292129222923292429252926292729282929293029312932293329342935293629372938293929402941294229432944294529462947294829492950295129522953295429552956295729582959296029612962296329642965296629672968296929702971297229732974297529762977297829792980298129822983298429852986298729882989299029912992299329942995299629972998299930003001300230033004300530063007300830093010301130123013301430153016301730183019302030213022302330243025302630273028302930303031303230333034303530363037303830393040304130423043304430453046304730483049305030513052305330543055305630573058305930603061306230633064306530663067306830693070307130723073307430753076307730783079308030813082308330843085308630873088308930903091309230933094309530963097309830993100310131023103310431053106310731083109311031113112311331143115311631173118311931203121312231233124312531263127312831293130313131323133313431353136313731383139314031413142314331443145314631473148314931503151315231533154315531563157315831593160316131623163316431653166316731683169317031713172317331743175317631773178317931803181318231833184318531863187318831893190319131923193319431953196319731983199320032013202320332043205320632073208320932103211321232133214321532163217321832193220322132223223322432253226322732283229323032313232323332343235323632373238323932403241324232433244324532463247324832493250325132523253325432553256325732583259326032613262326332643265326632673268326932703271327232733274327532763277327832793280328132823283328432853286328732883289329032913292329332943295329632973298329933003301330233033304330533063307330833093310331133123313331433153316331733183319332033213322332333243325332633273328332933303331333233333334333533363337333833393340334133423343334433453346334733483349335033513352335333543355335633573358335933603361336233633364336533663367336833693370337133723373337433753376337733783379338033813382338333843385338633873388338933903391339233933394339533963397339833993400340134023403340434053406340734083409341034113412341334143415341634173418341934203421342234233424342534263427342834293430343134323433343434353436343734383439344034413442344334443445344634473448344934503451345234533454345534563457345834593460346134623463346434653466346734683469347034713472347334743475347634773478347934803481348234833484348534863487348834893490349134923493349434953496349734983499350035013502350335043505350635073508350935103511351235133514351535163517351835193520352135223523352435253526352735283529353035313532353335343535353635373538353935403541354235433544354535463547354835493550355135523553355435553556355735583559356035613562356335643565356635673568356935703571357235733574357535763577357835793580358135823583358435853586358735883589359035913592359335943595359635973598359936003601360236033604360536063607360836093610361136123613361436153616361736183619362036213622362336243625362636273628362936303631363236333634363536363637363836393640364136423643364436453646364736483649365036513652365336543655365636573658365936603661366236633664366536663667366836693670367136723673367436753676367736783679368036813682368336843685368636873688368936903691369236933694369536963697369836993700370137023703370437053706370737083709371037113712371337143715371637173718371937203721372237233724372537263727372837293730373137323733373437353736373737383739374037413742374337443745374637473748374937503751375237533754375537563757375837593760376137623763376437653766376737683769377037713772377337743775377637773778377937803781378237833784378537863787378837893790379137923793379437953796379737983799380038013802380338043805380638073808380938103811381238133814381538163817381838193820382138223823382438253826382738283829383038313832383338343835383638373838383938403841384238433844384538463847384838493850385138523853385438553856385738583859386038613862386338643865386638673868386938703871387238733874387538763877387838793880388138823883388438853886388738883889389038913892389338943895389638973898389939003901390239033904390539063907390839093910391139123913391439153916391739183919392039213922392339243925392639273928392939303931393239333934393539363937393839393940394139423943394439453946394739483949395039513952395339543955395639573958395939603961396239633964396539663967396839693970397139723973397439753976397739783979398039813982398339843985398639873988398939903991399239933994399539963997399839994000400140024003400440054006400740084009401040114012401340144015401640174018401940204021402240234024402540264027402840294030403140324033403440354036403740384039404040414042404340444045404640474048404940504051405240534054405540564057405840594060406140624063406440654066406740684069407040714072407340744075407640774078407940804081408240834084408540864087408840894090409140924093409440954096409740984099410041014102410341044105410641074108410941104111411241134114411541164117411841194120412141224123412441254126412741284129413041314132413341344135413641374138413941404141414241434144414541464147414841494150415141524153415441554156415741584159416041614162416341644165416641674168416941704171417241734174417541764177417841794180418141824183418441854186418741884189419041914192419341944195419641974198419942004201420242034204420542064207420842094210421142124213421442154216421742184219422042214222422342244225422642274228422942304231423242334234423542364237423842394240424142424243424442454246424742484249425042514252425342544255425642574258425942604261426242634264426542664267426842694270427142724273427442754276427742784279428042814282428342844285428642874288428942904291429242934294429542964297429842994300430143024303430443054306430743084309431043114312431343144315431643174318431943204321432243234324432543264327432843294330433143324333433443354336433743384339434043414342434343444345434643474348434943504351435243534354435543564357435843594360436143624363436443654366436743684369437043714372437343744375437643774378437943804381438243834384438543864387438843894390439143924393439443954396439743984399440044014402440344044405440644074408440944104411441244134414441544164417441844194420442144224423442444254426442744284429443044314432443344344435443644374438443944404441444244434444444544464447444844494450445144524453445444554456445744584459446044614462446344644465446644674468446944704471447244734474447544764477447844794480448144824483448444854486448744884489449044914492449344944495449644974498449945004501450245034504450545064507450845094510451145124513451445154516451745184519452045214522452345244525452645274528452945304531453245334534453545364537453845394540454145424543454445454546454745484549455045514552455345544555455645574558455945604561456245634564456545664567456845694570457145724573457445754576457745784579458045814582458345844585458645874588458945904591459245934594459545964597459845994600460146024603460446054606460746084609461046114612461346144615461646174618461946204621462246234624462546264627462846294630463146324633463446354636463746384639464046414642464346444645464646474648464946504651465246534654465546564657465846594660466146624663466446654666466746684669467046714672467346744675467646774678467946804681468246834684468546864687468846894690469146924693469446954696469746984699470047014702470347044705470647074708470947104711471247134714471547164717471847194720472147224723472447254726472747284729473047314732473347344735473647374738473947404741474247434744474547464747474847494750475147524753475447554756475747584759476047614762476347644765476647674768476947704771477247734774477547764777477847794780478147824783478447854786478747884789479047914792479347944795479647974798479948004801480248034804480548064807480848094810481148124813481448154816481748184819482048214822482348244825482648274828482948304831483248334834483548364837483848394840484148424843484448454846484748484849485048514852485348544855485648574858485948604861486248634864486548664867486848694870487148724873487448754876487748784879488048814882488348844885488648874888488948904891489248934894489548964897489848994900490149024903490449054906490749084909491049114912491349144915491649174918491949204921492249234924492549264927492849294930493149324933493449354936493749384939494049414942494349444945494649474948494949504951495249534954495549564957495849594960496149624963496449654966496749684969497049714972497349744975497649774978497949804981498249834984498549864987498849894990499149924993499449954996499749984999500050015002500350045005500650075008500950105011501250135014501550165017501850195020502150225023502450255026502750285029503050315032503350345035503650375038503950405041504250435044504550465047504850495050505150525053505450555056505750585059506050615062506350645065506650675068506950705071507250735074507550765077507850795080508150825083508450855086508750885089509050915092509350945095509650975098509951005101510251035104510551065107510851095110511151125113511451155116511751185119512051215122512351245125512651275128512951305131513251335134513551365137513851395140514151425143514451455146514751485149515051515152515351545155515651575158515951605161516251635164516551665167516851695170517151725173517451755176517751785179518051815182518351845185518651875188518951905191519251935194519551965197519851995200520152025203520452055206520752085209521052115212521352145215521652175218521952205221522252235224522552265227522852295230523152325233523452355236523752385239524052415242524352445245524652475248524952505251525252535254525552565257525852595260526152625263526452655266526752685269527052715272527352745275527652775278527952805281528252835284528552865287528852895290529152925293529452955296529752985299530053015302530353045305530653075308530953105311531253135314531553165317531853195320532153225323532453255326532753285329533053315332533353345335533653375338533953405341534253435344534553465347534853495350535153525353535453555356535753585359536053615362536353645365536653675368536953705371537253735374537553765377537853795380538153825383538453855386538753885389539053915392539353945395539653975398539954005401540254035404540554065407540854095410541154125413541454155416541754185419542054215422542354245425542654275428542954305431543254335434543554365437543854395440544154425443544454455446544754485449545054515452545354545455545654575458545954605461546254635464546554665467546854695470547154725473547454755476547754785479548054815482548354845485548654875488548954905491549254935494549554965497549854995500550155025503550455055506550755085509551055115512551355145515551655175518551955205521552255235524552555265527552855295530553155325533553455355536553755385539554055415542554355445545554655475548554955505551555255535554555555565557555855595560556155625563556455655566556755685569557055715572557355745575557655775578557955805581558255835584558555865587558855895590559155925593559455955596559755985599560056015602560356045605560656075608560956105611561256135614561556165617561856195620562156225623562456255626562756285629563056315632563356345635563656375638563956405641564256435644564556465647564856495650565156525653565456555656565756585659566056615662566356645665566656675668566956705671567256735674567556765677567856795680568156825683568456855686568756885689569056915692569356945695569656975698569957005701570257035704570557065707570857095710571157125713571457155716571757185719572057215722572357245725572657275728572957305731573257335734573557365737573857395740574157425743574457455746574757485749575057515752575357545755575657575758575957605761576257635764576557665767576857695770577157725773577457755776577757785779578057815782578357845785578657875788578957905791579257935794579557965797579857995800580158025803580458055806580758085809581058115812581358145815581658175818581958205821582258235824582558265827582858295830583158325833583458355836583758385839584058415842584358445845584658475848584958505851585258535854585558565857585858595860586158625863586458655866586758685869587058715872587358745875587658775878587958805881588258835884588558865887588858895890589158925893589458955896589758985899590059015902590359045905590659075908590959105911591259135914591559165917591859195920592159225923592459255926592759285929593059315932593359345935593659375938593959405941594259435944594559465947594859495950595159525953595459555956595759585959596059615962596359645965596659675968596959705971597259735974597559765977597859795980598159825983598459855986598759885989599059915992599359945995599659975998599960006001600260036004600560066007600860096010601160126013601460156016601760186019602060216022602360246025602660276028602960306031603260336034603560366037603860396040604160426043604460456046604760486049605060516052605360546055605660576058605960606061606260636064606560666067606860696070607160726073607460756076607760786079608060816082608360846085608660876088608960906091609260936094609560966097609860996100610161026103610461056106610761086109611061116112611361146115611661176118611961206121612261236124612561266127612861296130613161326133613461356136613761386139614061416142614361446145614661476148614961506151615261536154615561566157615861596160616161626163616461656166616761686169617061716172617361746175617661776178617961806181618261836184618561866187618861896190619161926193619461956196619761986199620062016202620362046205620662076208620962106211621262136214621562166217621862196220622162226223622462256226622762286229623062316232623362346235623662376238623962406241624262436244624562466247624862496250625162526253625462556256625762586259626062616262626362646265626662676268626962706271627262736274627562766277627862796280628162826283628462856286628762886289629062916292629362946295629662976298629963006301630263036304630563066307630863096310631163126313631463156316631763186319632063216322632363246325632663276328632963306331633263336334633563366337633863396340634163426343634463456346634763486349635063516352635363546355635663576358635963606361636263636364636563666367636863696370637163726373637463756376637763786379638063816382638363846385638663876388638963906391639263936394639563966397639863996400640164026403640464056406640764086409641064116412641364146415641664176418641964206421642264236424642564266427642864296430643164326433643464356436643764386439644064416442644364446445644664476448644964506451645264536454645564566457645864596460646164626463646464656466646764686469647064716472647364746475647664776478647964806481648264836484648564866487648864896490649164926493649464956496649764986499650065016502650365046505650665076508650965106511651265136514651565166517651865196520652165226523652465256526652765286529653065316532653365346535653665376538653965406541654265436544654565466547654865496550655165526553655465556556655765586559656065616562656365646565656665676568656965706571657265736574657565766577657865796580658165826583658465856586658765886589659065916592659365946595659665976598659966006601660266036604660566066607660866096610661166126613661466156616661766186619662066216622662366246625662666276628662966306631663266336634663566366637663866396640664166426643664466456646664766486649665066516652665366546655665666576658665966606661666266636664666566666667666866696670667166726673667466756676667766786679668066816682668366846685668666876688668966906691669266936694669566966697669866996700670167026703670467056706670767086709671067116712671367146715671667176718671967206721672267236724672567266727672867296730673167326733673467356736673767386739674067416742674367446745674667476748674967506751675267536754675567566757675867596760676167626763676467656766676767686769677067716772677367746775677667776778677967806781678267836784678567866787678867896790679167926793679467956796679767986799680068016802680368046805680668076808680968106811681268136814681568166817681868196820682168226823682468256826682768286829683068316832683368346835683668376838683968406841684268436844684568466847684868496850685168526853685468556856685768586859686068616862686368646865686668676868686968706871687268736874687568766877687868796880688168826883688468856886688768886889689068916892689368946895689668976898689969006901690269036904690569066907690869096910691169126913691469156916691769186919692069216922692369246925692669276928692969306931693269336934693569366937693869396940694169426943694469456946694769486949695069516952695369546955695669576958695969606961696269636964696569666967696869696970697169726973697469756976697769786979698069816982698369846985698669876988698969906991699269936994699569966997699869997000700170027003700470057006700770087009701070117012701370147015701670177018701970207021702270237024702570267027702870297030703170327033703470357036703770387039704070417042704370447045704670477048704970507051705270537054705570567057705870597060706170627063706470657066706770687069707070717072707370747075707670777078707970807081708270837084708570867087708870897090709170927093709470957096709770987099710071017102710371047105710671077108710971107111711271137114711571167117711871197120712171227123712471257126712771287129713071317132713371347135713671377138713971407141714271437144714571467147714871497150715171527153715471557156715771587159716071617162716371647165716671677168716971707171717271737174717571767177717871797180718171827183718471857186718771887189719071917192719371947195719671977198719972007201720272037204720572067207720872097210721172127213721472157216721772187219722072217222722372247225722672277228722972307231723272337234723572367237723872397240724172427243724472457246724772487249725072517252725372547255725672577258725972607261726272637264726572667267726872697270727172727273727472757276727772787279728072817282728372847285728672877288728972907291729272937294729572967297729872997300730173027303730473057306730773087309731073117312731373147315731673177318731973207321732273237324732573267327732873297330733173327333733473357336733773387339734073417342734373447345734673477348734973507351735273537354735573567357735873597360736173627363736473657366736773687369737073717372737373747375737673777378737973807381738273837384738573867387738873897390739173927393739473957396739773987399740074017402740374047405740674077408740974107411741274137414741574167417741874197420742174227423742474257426742774287429743074317432743374347435743674377438743974407441744274437444744574467447744874497450745174527453745474557456745774587459746074617462746374647465746674677468746974707471747274737474747574767477747874797480748174827483748474857486748774887489749074917492749374947495749674977498749975007501750275037504750575067507750875097510751175127513751475157516751775187519752075217522752375247525752675277528752975307531753275337534753575367537753875397540754175427543754475457546754775487549755075517552755375547555755675577558755975607561756275637564756575667567756875697570757175727573757475757576757775787579758075817582758375847585758675877588758975907591759275937594759575967597759875997600760176027603760476057606760776087609761076117612761376147615761676177618761976207621762276237624762576267627762876297630763176327633763476357636763776387639764076417642764376447645764676477648764976507651765276537654765576567657765876597660766176627663766476657666766776687669767076717672767376747675767676777678767976807681768276837684768576867687768876897690769176927693769476957696769776987699770077017702770377047705770677077708770977107711771277137714771577167717771877197720772177227723772477257726772777287729773077317732773377347735773677377738773977407741774277437744774577467747774877497750775177527753775477557756775777587759776077617762776377647765776677677768776977707771777277737774777577767777777877797780778177827783778477857786778777887789779077917792779377947795779677977798779978007801780278037804780578067807780878097810781178127813781478157816781778187819782078217822782378247825782678277828782978307831783278337834783578367837783878397840784178427843784478457846784778487849785078517852785378547855785678577858785978607861786278637864786578667867786878697870787178727873787478757876787778787879788078817882788378847885788678877888788978907891789278937894789578967897789878997900790179027903790479057906790779087909791079117912791379147915791679177918791979207921792279237924792579267927792879297930793179327933793479357936793779387939794079417942794379447945794679477948794979507951795279537954795579567957795879597960796179627963796479657966796779687969797079717972797379747975797679777978797979807981798279837984798579867987798879897990799179927993799479957996799779987999800080018002800380048005800680078008800980108011801280138014801580168017801880198020802180228023802480258026802780288029803080318032803380348035803680378038803980408041804280438044804580468047804880498050805180528053805480558056805780588059806080618062806380648065806680678068806980708071807280738074807580768077807880798080808180828083808480858086808780888089809080918092809380948095809680978098809981008101810281038104810581068107810881098110811181128113811481158116811781188119812081218122812381248125812681278128812981308131813281338134813581368137813881398140814181428143814481458146814781488149815081518152815381548155815681578158815981608161816281638164816581668167816881698170817181728173817481758176817781788179818081818182818381848185818681878188818981908191819281938194819581968197819881998200820182028203820482058206820782088209821082118212821382148215821682178218821982208221822282238224822582268227822882298230823182328233823482358236823782388239824082418242824382448245824682478248824982508251825282538254825582568257825882598260826182628263826482658266826782688269827082718272827382748275827682778278827982808281828282838284828582868287828882898290829182928293829482958296829782988299830083018302830383048305830683078308830983108311831283138314831583168317831883198320832183228323832483258326832783288329833083318332833383348335833683378338833983408341834283438344834583468347834883498350835183528353835483558356835783588359836083618362836383648365836683678368836983708371837283738374837583768377837883798380838183828383838483858386838783888389839083918392839383948395839683978398839984008401840284038404840584068407840884098410841184128413841484158416841784188419842084218422842384248425842684278428842984308431843284338434843584368437843884398440844184428443844484458446844784488449845084518452845384548455845684578458845984608461846284638464846584668467846884698470847184728473847484758476847784788479848084818482848384848485848684878488848984908491849284938494849584968497849884998500850185028503850485058506850785088509851085118512851385148515851685178518851985208521852285238524852585268527852885298530853185328533853485358536853785388539854085418542854385448545854685478548854985508551855285538554855585568557855885598560856185628563856485658566856785688569857085718572857385748575857685778578857985808581858285838584858585868587858885898590859185928593859485958596859785988599860086018602860386048605860686078608860986108611861286138614861586168617861886198620862186228623862486258626862786288629863086318632863386348635863686378638863986408641864286438644864586468647864886498650865186528653865486558656865786588659866086618662866386648665866686678668866986708671867286738674867586768677867886798680868186828683868486858686868786888689869086918692869386948695869686978698869987008701870287038704870587068707870887098710871187128713871487158716871787188719872087218722872387248725872687278728872987308731873287338734873587368737873887398740874187428743874487458746874787488749875087518752875387548755875687578758875987608761876287638764876587668767876887698770877187728773877487758776877787788779878087818782878387848785878687878788878987908791879287938794879587968797879887998800880188028803880488058806880788088809881088118812881388148815881688178818881988208821882288238824882588268827882888298830883188328833883488358836883788388839884088418842884388448845884688478848884988508851885288538854885588568857885888598860886188628863886488658866886788688869887088718872887388748875887688778878887988808881888288838884888588868887888888898890889188928893889488958896889788988899890089018902890389048905890689078908890989108911891289138914891589168917891889198920892189228923892489258926892789288929893089318932893389348935893689378938893989408941894289438944894589468947894889498950895189528953895489558956895789588959896089618962896389648965896689678968896989708971897289738974897589768977897889798980898189828983898489858986898789888989899089918992899389948995899689978998899990009001900290039004900590069007900890099010901190129013901490159016901790189019902090219022902390249025902690279028902990309031903290339034903590369037903890399040904190429043904490459046904790489049905090519052905390549055905690579058905990609061906290639064906590669067906890699070907190729073907490759076907790789079908090819082908390849085908690879088908990909091909290939094909590969097909890999100910191029103910491059106910791089109911091119112911391149115911691179118911991209121912291239124912591269127912891299130913191329133913491359136913791389139914091419142914391449145914691479148914991509151915291539154915591569157915891599160916191629163916491659166916791689169917091719172917391749175917691779178917991809181918291839184918591869187918891899190919191929193919491959196919791989199920092019202920392049205920692079208920992109211921292139214921592169217921892199220922192229223922492259226922792289229923092319232923392349235923692379238923992409241924292439244924592469247924892499250925192529253925492559256925792589259926092619262926392649265926692679268926992709271927292739274927592769277927892799280928192829283928492859286928792889289929092919292929392949295929692979298929993009301930293039304930593069307930893099310931193129313931493159316931793189319932093219322932393249325932693279328932993309331933293339334933593369337933893399340934193429343934493459346934793489349935093519352935393549355935693579358935993609361936293639364936593669367936893699370937193729373937493759376937793789379938093819382938393849385938693879388938993909391939293939394939593969397939893999400940194029403940494059406940794089409941094119412941394149415941694179418941994209421942294239424942594269427942894299430943194329433943494359436943794389439944094419442944394449445944694479448944994509451945294539454945594569457945894599460946194629463946494659466946794689469947094719472947394749475947694779478947994809481948294839484948594869487948894899490949194929493949494959496949794989499950095019502950395049505950695079508950995109511951295139514951595169517951895199520952195229523952495259526952795289529953095319532953395349535953695379538953995409541954295439544954595469547954895499550955195529553955495559556955795589559956095619562956395649565956695679568956995709571957295739574957595769577957895799580958195829583958495859586958795889589959095919592959395949595959695979598959996009601960296039604960596069607960896099610961196129613961496159616961796189619962096219622962396249625962696279628962996309631963296339634963596369637963896399640964196429643964496459646964796489649965096519652965396549655965696579658965996609661966296639664966596669667966896699670967196729673967496759676967796789679968096819682968396849685968696879688968996909691969296939694969596969697969896999700970197029703970497059706970797089709971097119712971397149715971697179718971997209721972297239724972597269727972897299730973197329733973497359736973797389739974097419742974397449745974697479748974997509751975297539754975597569757975897599760976197629763976497659766976797689769977097719772977397749775977697779778977997809781978297839784978597869787978897899790979197929793979497959796979797989799980098019802980398049805980698079808980998109811981298139814981598169817981898199820982198229823982498259826982798289829983098319832983398349835983698379838983998409841984298439844984598469847984898499850985198529853985498559856985798589859986098619862986398649865986698679868986998709871987298739874987598769877987898799880988198829883988498859886988798889889989098919892989398949895989698979898989999009901990299039904990599069907990899099910991199129913991499159916991799189919992099219922992399249925992699279928992999309931993299339934993599369937993899399940994199429943994499459946994799489949995099519952995399549955995699579958995999609961996299639964996599669967996899699970997199729973997499759976997799789979998099819982998399849985998699879988998999909991999299939994999599969997999899991000010001100021000310004100051000610007100081000910010100111001210013100141001510016100171001810019100201002110022100231002410025100261002710028100291003010031100321003310034100351003610037100381003910040100411004210043100441004510046100471004810049100501005110052100531005410055100561005710058100591006010061100621006310064100651006610067100681006910070100711007210073100741007510076100771007810079100801008110082100831008410085100861008710088100891009010091100921009310094100951009610097100981009910100101011010210103101041010510106101071010810109101101011110112101131011410115101161011710118101191012010121101221012310124101251012610127101281012910130101311013210133101341013510136101371013810139101401014110142101431014410145101461014710148101491015010151101521015310154101551015610157101581015910160101611016210163101641016510166101671016810169101701017110172101731017410175101761017710178101791018010181101821018310184101851018610187101881018910190101911019210193101941019510196101971019810199102001020110202102031020410205102061020710208102091021010211102121021310214102151021610217102181021910220102211022210223102241022510226102271022810229102301023110232102331023410235102361023710238102391024010241102421024310244102451024610247102481024910250102511025210253102541025510256102571025810259102601026110262102631026410265102661026710268102691027010271102721027310274102751027610277102781027910280102811028210283102841028510286102871028810289102901029110292102931029410295102961029710298102991030010301103021030310304103051030610307103081030910310103111031210313103141031510316103171031810319103201032110322103231032410325103261032710328103291033010331103321033310334103351033610337103381033910340103411034210343103441034510346103471034810349103501035110352103531035410355103561035710358103591036010361103621036310364103651036610367103681036910370103711037210373103741037510376103771037810379103801038110382103831038410385103861038710388103891039010391103921039310394103951039610397103981039910400104011040210403104041040510406104071040810409104101041110412104131041410415104161041710418104191042010421104221042310424104251042610427104281042910430104311043210433104341043510436104371043810439104401044110442104431044410445104461044710448104491045010451104521045310454104551045610457104581045910460104611046210463104641046510466104671046810469104701047110472104731047410475104761047710478104791048010481104821048310484104851048610487104881048910490104911049210493104941049510496104971049810499105001050110502105031050410505105061050710508105091051010511105121051310514105151051610517105181051910520105211052210523105241052510526105271052810529105301053110532105331053410535105361053710538105391054010541105421054310544105451054610547105481054910550105511055210553105541055510556105571055810559105601056110562105631056410565105661056710568105691057010571105721057310574105751057610577105781057910580105811058210583105841058510586105871058810589105901059110592105931059410595105961059710598105991060010601106021060310604106051060610607106081060910610106111061210613106141061510616106171061810619106201062110622106231062410625106261062710628106291063010631106321063310634106351063610637106381063910640106411064210643106441064510646106471064810649106501065110652106531065410655106561065710658106591066010661106621066310664106651066610667106681066910670106711067210673106741067510676106771067810679106801068110682106831068410685106861068710688106891069010691106921069310694106951069610697106981069910700107011070210703107041070510706107071070810709107101071110712107131071410715107161071710718107191072010721107221072310724107251072610727107281072910730107311073210733107341073510736107371073810739107401074110742107431074410745107461074710748107491075010751107521075310754107551075610757107581075910760107611076210763107641076510766107671076810769107701077110772107731077410775107761077710778107791078010781107821078310784107851078610787107881078910790107911079210793107941079510796107971079810799108001080110802108031080410805108061080710808108091081010811108121081310814108151081610817108181081910820108211082210823108241082510826108271082810829108301083110832108331083410835108361083710838108391084010841108421084310844108451084610847108481084910850108511085210853108541085510856108571085810859108601086110862108631086410865108661086710868108691087010871108721087310874108751087610877108781087910880108811088210883108841088510886108871088810889108901089110892108931089410895108961089710898108991090010901109021090310904109051090610907109081090910910109111091210913109141091510916109171091810919109201092110922109231092410925109261092710928109291093010931109321093310934109351093610937109381093910940109411094210943109441094510946109471094810949109501095110952109531095410955109561095710958109591096010961109621096310964109651096610967109681096910970109711097210973109741097510976109771097810979109801098110982109831098410985109861098710988109891099010991109921099310994109951099610997109981099911000110011100211003110041100511006110071100811009110101101111012110131101411015110161101711018110191102011021110221102311024110251102611027110281102911030110311103211033110341103511036110371103811039110401104111042110431104411045110461104711048110491105011051110521105311054110551105611057110581105911060110611106211063110641106511066110671106811069110701107111072110731107411075110761107711078110791108011081110821108311084110851108611087110881108911090110911109211093110941109511096110971109811099111001110111102111031110411105111061110711108111091111011111111121111311114111151111611117111181111911120111211112211123111241112511126111271112811129111301113111132111331113411135111361113711138111391114011141111421114311144111451114611147111481114911150111511115211153111541115511156111571115811159111601116111162111631116411165111661116711168111691117011171111721117311174111751117611177111781117911180111811118211183111841118511186111871118811189111901119111192111931119411195111961119711198111991120011201112021120311204112051120611207112081120911210112111121211213112141121511216112171121811219112201122111222112231122411225112261122711228112291123011231112321123311234112351123611237112381123911240112411124211243112441124511246112471124811249112501125111252112531125411255112561125711258112591126011261112621126311264112651126611267112681126911270112711127211273112741127511276112771127811279112801128111282112831128411285112861128711288112891129011291112921129311294112951129611297112981129911300113011130211303113041130511306113071130811309113101131111312113131131411315113161131711318113191132011321113221132311324113251132611327113281132911330113311133211333113341133511336113371133811339113401134111342113431134411345113461134711348113491135011351113521135311354113551135611357113581135911360113611136211363113641136511366113671136811369113701137111372113731137411375113761137711378113791138011381113821138311384113851138611387113881138911390113911139211393113941139511396113971139811399114001140111402114031140411405114061140711408114091141011411114121141311414114151141611417114181141911420114211142211423114241142511426114271142811429114301143111432114331143411435114361143711438114391144011441114421144311444114451144611447114481144911450114511145211453114541145511456114571145811459114601146111462114631146411465114661146711468114691147011471114721147311474114751147611477114781147911480114811148211483114841148511486114871148811489114901149111492114931149411495114961149711498114991150011501115021150311504115051150611507115081150911510115111151211513115141151511516115171151811519115201152111522115231152411525115261152711528115291153011531115321153311534115351153611537115381153911540115411154211543115441154511546115471154811549115501155111552115531155411555115561155711558115591156011561115621156311564115651156611567115681156911570115711157211573115741157511576115771157811579115801158111582115831158411585115861158711588115891159011591115921159311594115951159611597115981159911600116011160211603116041160511606116071160811609116101161111612116131161411615116161161711618116191162011621116221162311624116251162611627116281162911630116311163211633116341163511636116371163811639116401164111642116431164411645116461164711648116491165011651116521165311654116551165611657116581165911660116611166211663116641166511666116671166811669116701167111672116731167411675116761167711678116791168011681116821168311684116851168611687116881168911690116911169211693116941169511696116971169811699117001170111702117031170411705117061170711708117091171011711117121171311714117151171611717117181171911720117211172211723117241172511726117271172811729117301173111732117331173411735117361173711738117391174011741117421174311744117451174611747117481174911750117511175211753117541175511756117571175811759117601176111762117631176411765117661176711768117691177011771117721177311774117751177611777117781177911780117811178211783117841178511786117871178811789117901179111792117931179411795117961179711798117991180011801118021180311804118051180611807118081180911810118111181211813118141181511816118171181811819118201182111822118231182411825118261182711828118291183011831118321183311834118351183611837118381183911840118411184211843118441184511846118471184811849118501185111852118531185411855118561185711858118591186011861118621186311864118651186611867118681186911870118711187211873118741187511876118771187811879118801188111882118831188411885118861188711888118891189011891118921189311894118951189611897118981189911900119011190211903119041190511906119071190811909119101191111912119131191411915119161191711918119191192011921119221192311924119251192611927119281192911930119311193211933119341193511936119371193811939119401194111942119431194411945119461194711948119491195011951119521195311954119551195611957119581195911960119611196211963119641196511966119671196811969119701197111972119731197411975119761197711978119791198011981119821198311984119851198611987119881198911990119911199211993119941199511996119971199811999120001200112002120031200412005120061200712008120091201012011120121201312014120151201612017120181201912020120211202212023120241202512026120271202812029120301203112032120331203412035120361203712038120391204012041120421204312044120451204612047120481204912050120511205212053120541205512056120571205812059120601206112062120631206412065120661206712068120691207012071120721207312074120751207612077120781207912080120811208212083120841208512086120871208812089120901209112092120931209412095120961209712098120991210012101121021210312104121051210612107121081210912110121111211212113121141211512116121171211812119121201212112122121231212412125121261212712128121291213012131121321213312134121351213612137121381213912140121411214212143121441214512146121471214812149121501215112152121531215412155121561215712158121591216012161121621216312164121651216612167121681216912170121711217212173121741217512176121771217812179121801218112182121831218412185121861218712188121891219012191121921219312194121951219612197121981219912200122011220212203122041220512206122071220812209122101221112212122131221412215122161221712218122191222012221122221222312224122251222612227122281222912230122311223212233122341223512236122371223812239122401224112242122431224412245122461224712248122491225012251122521225312254122551225612257122581225912260122611226212263122641226512266122671226812269122701227112272122731227412275122761227712278122791228012281122821228312284122851228612287122881228912290122911229212293122941229512296122971229812299123001230112302123031230412305123061230712308123091231012311123121231312314123151231612317123181231912320123211232212323123241232512326123271232812329123301233112332123331233412335123361233712338123391234012341123421234312344123451234612347123481234912350123511235212353123541235512356123571235812359123601236112362123631236412365123661236712368123691237012371123721237312374123751237612377123781237912380123811238212383123841238512386123871238812389123901239112392123931239412395123961239712398123991240012401124021240312404124051240612407124081240912410124111241212413124141241512416124171241812419124201242112422124231242412425124261242712428124291243012431124321243312434124351243612437124381243912440124411244212443124441244512446124471244812449124501245112452124531245412455124561245712458124591246012461124621246312464124651246612467124681246912470124711247212473124741247512476124771247812479124801248112482124831248412485124861248712488124891249012491124921249312494124951249612497124981249912500125011250212503125041250512506125071250812509125101251112512125131251412515125161251712518125191252012521125221252312524125251252612527125281252912530125311253212533125341253512536125371253812539125401254112542125431254412545125461254712548125491255012551125521255312554125551255612557125581255912560125611256212563125641256512566125671256812569125701257112572125731257412575125761257712578125791258012581125821258312584125851258612587125881258912590125911259212593125941259512596125971259812599126001260112602126031260412605126061260712608126091261012611126121261312614126151261612617126181261912620126211262212623126241262512626126271262812629126301263112632126331263412635126361263712638126391264012641126421264312644126451264612647126481264912650126511265212653126541265512656126571265812659126601266112662126631266412665126661266712668126691267012671126721267312674126751267612677126781267912680126811268212683126841268512686126871268812689126901269112692126931269412695126961269712698126991270012701127021270312704127051270612707127081270912710127111271212713127141271512716127171271812719127201272112722127231272412725127261272712728127291273012731127321273312734127351273612737127381273912740127411274212743127441274512746127471274812749127501275112752127531275412755127561275712758127591276012761127621276312764127651276612767127681276912770127711277212773127741277512776127771277812779127801278112782127831278412785127861278712788127891279012791127921279312794127951279612797127981279912800128011280212803128041280512806128071280812809128101281112812128131281412815128161281712818128191282012821128221282312824128251282612827128281282912830128311283212833128341283512836128371283812839128401284112842128431284412845128461284712848128491285012851128521285312854128551285612857128581285912860128611286212863128641286512866128671286812869128701287112872128731287412875128761287712878128791288012881128821288312884128851288612887128881288912890128911289212893128941289512896128971289812899129001290112902129031290412905129061290712908129091291012911129121291312914129151291612917129181291912920129211292212923129241292512926129271292812929129301293112932129331293412935129361293712938129391294012941129421294312944129451294612947129481294912950129511295212953129541295512956129571295812959129601296112962129631296412965129661296712968129691297012971129721297312974129751297612977129781297912980129811298212983129841298512986129871298812989129901299112992129931299412995129961299712998129991300013001130021300313004130051300613007130081300913010130111301213013130141301513016130171301813019130201302113022130231302413025130261302713028130291303013031130321303313034130351303613037130381303913040130411304213043130441304513046130471304813049130501305113052130531305413055130561305713058130591306013061130621306313064130651306613067130681306913070130711307213073130741307513076130771307813079130801308113082130831308413085130861308713088130891309013091130921309313094130951309613097130981309913100131011310213103131041310513106131071310813109131101311113112131131311413115131161311713118131191312013121131221312313124131251312613127131281312913130131311313213133131341313513136131371313813139131401314113142131431314413145131461314713148131491315013151131521315313154131551315613157131581315913160131611316213163131641316513166131671316813169131701317113172131731317413175131761317713178131791318013181131821318313184131851318613187131881318913190131911319213193131941319513196131971319813199132001320113202132031320413205132061320713208132091321013211132121321313214132151321613217132181321913220132211322213223132241322513226132271322813229132301323113232132331323413235132361323713238132391324013241132421324313244132451324613247132481324913250132511325213253132541325513256132571325813259132601326113262132631326413265132661326713268132691327013271132721327313274132751327613277132781327913280132811328213283132841328513286132871328813289132901329113292132931329413295132961329713298132991330013301133021330313304133051330613307133081330913310133111331213313133141331513316133171331813319133201332113322133231332413325133261332713328133291333013331133321333313334133351333613337133381333913340133411334213343133441334513346133471334813349133501335113352133531335413355133561335713358133591336013361133621336313364133651336613367133681336913370133711337213373133741337513376133771337813379133801338113382133831338413385133861338713388133891339013391133921339313394133951339613397133981339913400134011340213403134041340513406134071340813409134101341113412134131341413415134161341713418134191342013421134221342313424134251342613427134281342913430134311343213433134341343513436134371343813439134401344113442134431344413445134461344713448134491345013451134521345313454134551345613457134581345913460134611346213463134641346513466134671346813469134701347113472134731347413475134761347713478134791348013481134821348313484134851348613487134881348913490134911349213493134941349513496134971349813499135001350113502135031350413505135061350713508135091351013511135121351313514135151351613517135181351913520135211352213523135241352513526135271352813529135301353113532135331353413535135361353713538135391354013541135421354313544135451354613547135481354913550135511355213553135541355513556135571355813559135601356113562135631356413565135661356713568135691357013571135721357313574135751357613577135781357913580135811358213583135841358513586135871358813589135901359113592135931359413595135961359713598135991360013601136021360313604136051360613607136081360913610136111361213613136141361513616136171361813619136201362113622136231362413625136261362713628136291363013631136321363313634136351363613637136381363913640136411364213643136441364513646136471364813649136501365113652136531365413655136561365713658136591366013661136621366313664136651366613667136681366913670136711367213673136741367513676136771367813679136801368113682136831368413685136861368713688136891369013691136921369313694136951369613697136981369913700137011370213703137041370513706137071370813709137101371113712137131371413715137161371713718137191372013721137221372313724137251372613727137281372913730137311373213733137341373513736137371373813739137401374113742137431374413745137461374713748137491375013751137521375313754137551375613757137581375913760137611376213763137641376513766137671376813769137701377113772137731377413775137761377713778137791378013781137821378313784137851378613787137881378913790137911379213793137941379513796137971379813799138001380113802138031380413805138061380713808138091381013811138121381313814138151381613817138181381913820138211382213823138241382513826138271382813829138301383113832138331383413835138361383713838138391384013841138421384313844138451384613847138481384913850138511385213853138541385513856138571385813859138601386113862138631386413865138661386713868138691387013871138721387313874138751387613877138781387913880138811388213883138841388513886138871388813889138901389113892138931389413895138961389713898138991390013901139021390313904139051390613907139081390913910139111391213913139141391513916139171391813919139201392113922139231392413925139261392713928139291393013931139321393313934139351393613937139381393913940139411394213943139441394513946139471394813949139501395113952139531395413955139561395713958139591396013961139621396313964139651396613967139681396913970139711397213973139741397513976139771397813979139801398113982139831398413985139861398713988139891399013991139921399313994139951399613997139981399914000140011400214003140041400514006140071400814009140101401114012140131401414015140161401714018140191402014021140221402314024140251402614027140281402914030140311403214033140341403514036140371403814039140401404114042140431404414045140461404714048140491405014051140521405314054140551405614057140581405914060140611406214063140641406514066140671406814069140701407114072140731407414075140761407714078140791408014081140821408314084140851408614087140881408914090140911409214093140941409514096140971409814099141001410114102141031410414105141061410714108141091411014111141121411314114141151411614117141181411914120141211412214123141241412514126141271412814129141301413114132141331413414135141361413714138141391414014141141421414314144141451414614147141481414914150141511415214153141541415514156141571415814159141601416114162141631416414165141661416714168141691417014171141721417314174141751417614177141781417914180141811418214183141841418514186141871418814189141901419114192141931419414195141961419714198141991420014201142021420314204142051420614207142081420914210142111421214213142141421514216142171421814219142201422114222142231422414225142261422714228142291423014231142321423314234142351423614237142381423914240142411424214243142441424514246142471424814249142501425114252142531425414255142561425714258142591426014261142621426314264142651426614267142681426914270142711427214273142741427514276142771427814279142801428114282142831428414285142861428714288142891429014291142921429314294142951429614297142981429914300143011430214303143041430514306143071430814309143101431114312143131431414315143161431714318143191432014321143221432314324143251432614327143281432914330143311433214333143341433514336143371433814339143401434114342143431434414345143461434714348143491435014351143521435314354143551435614357143581435914360143611436214363143641436514366143671436814369143701437114372143731437414375143761437714378143791438014381143821438314384143851438614387143881438914390143911439214393143941439514396143971439814399144001440114402144031440414405144061440714408144091441014411144121441314414144151441614417144181441914420144211442214423144241442514426144271442814429144301443114432144331443414435144361443714438144391444014441144421444314444144451444614447144481444914450144511445214453144541445514456144571445814459144601446114462144631446414465144661446714468144691447014471144721447314474144751447614477144781447914480144811448214483144841448514486144871448814489144901449114492144931449414495144961449714498144991450014501145021450314504145051450614507145081450914510145111451214513145141451514516145171451814519145201452114522145231452414525145261452714528145291453014531145321453314534145351453614537145381453914540145411454214543145441454514546145471454814549145501455114552145531455414555145561455714558145591456014561145621456314564145651456614567145681456914570145711457214573145741457514576145771457814579145801458114582145831458414585145861458714588145891459014591145921459314594145951459614597145981459914600146011460214603146041460514606146071460814609146101461114612146131461414615146161461714618146191462014621146221462314624146251462614627146281462914630146311463214633146341463514636146371463814639146401464114642146431464414645146461464714648146491465014651146521465314654146551465614657146581465914660146611466214663146641466514666146671466814669146701467114672146731467414675146761467714678146791468014681146821468314684146851468614687146881468914690146911469214693146941469514696146971469814699147001470114702147031470414705147061470714708147091471014711147121471314714147151471614717147181471914720147211472214723147241472514726147271472814729147301473114732147331473414735147361473714738147391474014741147421474314744147451474614747147481474914750147511475214753147541475514756147571475814759147601476114762147631476414765147661476714768147691477014771147721477314774147751477614777147781477914780147811478214783147841478514786147871478814789147901479114792147931479414795147961479714798147991480014801148021480314804148051480614807148081480914810148111481214813148141481514816148171481814819148201482114822148231482414825148261482714828148291483014831148321483314834148351483614837148381483914840148411484214843148441484514846148471484814849148501485114852148531485414855148561485714858148591486014861148621486314864148651486614867148681486914870148711487214873148741487514876148771487814879148801488114882148831488414885148861488714888148891489014891148921489314894148951489614897148981489914900149011490214903149041490514906149071490814909149101491114912149131491414915149161491714918149191492014921149221492314924149251492614927149281492914930149311493214933149341493514936149371493814939149401494114942149431494414945149461494714948149491495014951149521495314954149551495614957149581495914960149611496214963149641496514966149671496814969149701497114972149731497414975149761497714978149791498014981149821498314984149851498614987149881498914990149911499214993149941499514996149971499814999150001500115002150031500415005150061500715008150091501015011150121501315014150151501615017150181501915020150211502215023150241502515026150271502815029150301503115032150331503415035150361503715038150391504015041150421504315044150451504615047150481504915050150511505215053150541505515056150571505815059150601506115062150631506415065150661506715068150691507015071150721507315074150751507615077150781507915080150811508215083150841508515086150871508815089150901509115092150931509415095150961509715098150991510015101151021510315104151051510615107151081510915110151111511215113151141511515116151171511815119151201512115122151231512415125151261512715128151291513015131151321513315134151351513615137151381513915140151411514215143151441514515146151471514815149151501515115152151531515415155151561515715158151591516015161151621516315164151651516615167151681516915170151711517215173151741517515176151771517815179151801518115182151831518415185151861518715188151891519015191151921519315194151951519615197151981519915200152011520215203152041520515206152071520815209152101521115212152131521415215152161521715218152191522015221152221522315224152251522615227152281522915230152311523215233152341523515236152371523815239152401524115242152431524415245152461524715248152491525015251152521525315254152551525615257152581525915260152611526215263152641526515266152671526815269152701527115272152731527415275152761527715278152791528015281152821528315284152851528615287152881528915290152911529215293152941529515296152971529815299153001530115302153031530415305153061530715308153091531015311153121531315314153151531615317153181531915320153211532215323153241532515326153271532815329153301533115332153331533415335153361533715338153391534015341153421534315344153451534615347153481534915350153511535215353153541535515356153571535815359153601536115362153631536415365153661536715368153691537015371153721537315374153751537615377153781537915380153811538215383153841538515386153871538815389153901539115392153931539415395153961539715398153991540015401154021540315404154051540615407154081540915410154111541215413154141541515416154171541815419154201542115422154231542415425154261542715428154291543015431154321543315434154351543615437154381543915440154411544215443154441544515446154471544815449154501545115452154531545415455154561545715458154591546015461154621546315464154651546615467154681546915470154711547215473154741547515476154771547815479154801548115482154831548415485154861548715488154891549015491154921549315494154951549615497154981549915500155011550215503155041550515506155071550815509155101551115512155131551415515155161551715518155191552015521155221552315524155251552615527155281552915530155311553215533155341553515536155371553815539155401554115542155431554415545155461554715548155491555015551155521555315554155551555615557155581555915560155611556215563155641556515566155671556815569155701557115572155731557415575155761557715578155791558015581155821558315584155851558615587155881558915590155911559215593155941559515596155971559815599156001560115602156031560415605156061560715608156091561015611156121561315614156151561615617156181561915620156211562215623156241562515626156271562815629156301563115632156331563415635156361563715638156391564015641156421564315644156451564615647156481564915650156511565215653156541565515656156571565815659156601566115662156631566415665156661566715668156691567015671156721567315674156751567615677156781567915680156811568215683156841568515686156871568815689156901569115692156931569415695156961569715698156991570015701157021570315704157051570615707157081570915710157111571215713157141571515716157171571815719157201572115722157231572415725157261572715728157291573015731157321573315734157351573615737157381573915740157411574215743157441574515746157471574815749157501575115752157531575415755157561575715758157591576015761157621576315764157651576615767157681576915770157711577215773157741577515776157771577815779157801578115782157831578415785157861578715788157891579015791157921579315794157951579615797157981579915800158011580215803158041580515806158071580815809158101581115812158131581415815158161581715818158191582015821158221582315824158251582615827158281582915830158311583215833158341583515836158371583815839158401584115842158431584415845158461584715848158491585015851158521585315854158551585615857158581585915860158611586215863158641586515866158671586815869158701587115872158731587415875158761587715878158791588015881158821588315884158851588615887158881588915890158911589215893158941589515896158971589815899159001590115902159031590415905159061590715908159091591015911159121591315914159151591615917159181591915920159211592215923159241592515926159271592815929159301593115932159331593415935159361593715938159391594015941159421594315944159451594615947159481594915950159511595215953159541595515956159571595815959159601596115962159631596415965159661596715968159691597015971159721597315974159751597615977159781597915980159811598215983159841598515986159871598815989159901599115992159931599415995159961599715998159991600016001160021600316004160051600616007160081600916010160111601216013160141601516016160171601816019160201602116022160231602416025160261602716028160291603016031160321603316034160351603616037160381603916040160411604216043160441604516046160471604816049160501605116052160531605416055
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <EnsembleReport name="nios2_uc" kind="system" version="18.1" fabric="QSYS">
  3. <!-- Format version 18.1 625 (Future versions may contain additional information.) -->
  4. <!-- 2020.12.08.21:15:15 -->
  5. <!-- A collection of modules and connections -->
  6. <parameter name="clockCrossingAdapter">
  7. <type>com.altera.sopcmodel.ensemble.EClockAdapter</type>
  8. <value>HANDSHAKE</value>
  9. <derived>false</derived>
  10. <enabled>true</enabled>
  11. <visible>true</visible>
  12. <valid>true</valid>
  13. </parameter>
  14. <parameter name="device">
  15. <type>java.lang.String</type>
  16. <value>EP4CE115F29C7</value>
  17. <derived>false</derived>
  18. <enabled>true</enabled>
  19. <visible>true</visible>
  20. <valid>true</valid>
  21. </parameter>
  22. <parameter name="deviceFamily">
  23. <type>java.lang.String</type>
  24. <value>CYCLONEIVE</value>
  25. <derived>false</derived>
  26. <enabled>true</enabled>
  27. <visible>true</visible>
  28. <valid>true</valid>
  29. </parameter>
  30. <parameter name="deviceSpeedGrade">
  31. <type>java.lang.String</type>
  32. <value>7</value>
  33. <derived>false</derived>
  34. <enabled>true</enabled>
  35. <visible>false</visible>
  36. <valid>true</valid>
  37. </parameter>
  38. <parameter name="fabricMode">
  39. <type>com.altera.sopcmodel.ensemble.Ensemble$EFabricMode</type>
  40. <value>QSYS</value>
  41. <derived>false</derived>
  42. <enabled>true</enabled>
  43. <visible>false</visible>
  44. <valid>true</valid>
  45. </parameter>
  46. <parameter name="generateLegacySim">
  47. <type>boolean</type>
  48. <value>false</value>
  49. <derived>false</derived>
  50. <enabled>true</enabled>
  51. <visible>false</visible>
  52. <valid>true</valid>
  53. </parameter>
  54. <parameter name="generationId">
  55. <type>int</type>
  56. <value>1607458467</value>
  57. <derived>false</derived>
  58. <enabled>true</enabled>
  59. <visible>true</visible>
  60. <valid>true</valid>
  61. </parameter>
  62. <parameter name="globalResetBus">
  63. <type>boolean</type>
  64. <value>false</value>
  65. <derived>false</derived>
  66. <enabled>true</enabled>
  67. <visible>false</visible>
  68. <valid>true</valid>
  69. </parameter>
  70. <parameter name="hdlLanguage">
  71. <type>com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage</type>
  72. <value>VERILOG</value>
  73. <derived>false</derived>
  74. <enabled>false</enabled>
  75. <visible>false</visible>
  76. <valid>true</valid>
  77. </parameter>
  78. <parameter name="hideFromIPCatalog">
  79. <type>boolean</type>
  80. <value>false</value>
  81. <derived>false</derived>
  82. <enabled>true</enabled>
  83. <visible>true</visible>
  84. <valid>true</valid>
  85. </parameter>
  86. <parameter name="lockedInterfaceDefinition">
  87. <type>com.altera.sopcmodel.definition.BoundaryDefinition</type>
  88. <value></value>
  89. <derived>false</derived>
  90. <enabled>true</enabled>
  91. <visible>false</visible>
  92. <valid>true</valid>
  93. </parameter>
  94. <parameter name="maxAdditionalLatency">
  95. <type>int</type>
  96. <value>1</value>
  97. <derived>false</derived>
  98. <enabled>true</enabled>
  99. <visible>true</visible>
  100. <valid>true</valid>
  101. </parameter>
  102. <parameter name="projectName">
  103. <type>java.lang.String</type>
  104. <value>myfirst_niosii.qpf</value>
  105. <derived>false</derived>
  106. <enabled>true</enabled>
  107. <visible>false</visible>
  108. <valid>true</valid>
  109. </parameter>
  110. <parameter name="sopcBorderPoints">
  111. <type>boolean</type>
  112. <value>false</value>
  113. <derived>false</derived>
  114. <enabled>true</enabled>
  115. <visible>false</visible>
  116. <valid>true</valid>
  117. </parameter>
  118. <parameter name="systemHash">
  119. <type>long</type>
  120. <value>0</value>
  121. <derived>false</derived>
  122. <enabled>true</enabled>
  123. <visible>false</visible>
  124. <valid>true</valid>
  125. </parameter>
  126. <parameter name="testBenchDutName">
  127. <type>java.lang.String</type>
  128. <value></value>
  129. <derived>false</derived>
  130. <enabled>true</enabled>
  131. <visible>false</visible>
  132. <valid>true</valid>
  133. </parameter>
  134. <parameter name="timeStamp">
  135. <type>long</type>
  136. <value>0</value>
  137. <derived>false</derived>
  138. <enabled>true</enabled>
  139. <visible>false</visible>
  140. <valid>true</valid>
  141. </parameter>
  142. <parameter name="useTestBenchNamingPattern">
  143. <type>boolean</type>
  144. <value>false</value>
  145. <derived>false</derived>
  146. <enabled>true</enabled>
  147. <visible>false</visible>
  148. <valid>true</valid>
  149. </parameter>
  150. <module name="clk_50" kind="clock_source" version="18.1" path="clk_50">
  151. <!-- Describes a single module. Module parameters are
  152. the requested settings for a module instance. -->
  153. <parameter name="clockFrequency">
  154. <type>long</type>
  155. <value>50000000</value>
  156. <derived>false</derived>
  157. <enabled>true</enabled>
  158. <visible>true</visible>
  159. <valid>true</valid>
  160. </parameter>
  161. <parameter name="clockFrequencyKnown">
  162. <type>boolean</type>
  163. <value>true</value>
  164. <derived>false</derived>
  165. <enabled>true</enabled>
  166. <visible>true</visible>
  167. <valid>true</valid>
  168. </parameter>
  169. <parameter name="inputClockFrequency">
  170. <type>long</type>
  171. <value>0</value>
  172. <derived>false</derived>
  173. <enabled>true</enabled>
  174. <visible>false</visible>
  175. <valid>true</valid>
  176. <sysinfo_type>CLOCK_RATE</sysinfo_type>
  177. <sysinfo_arg>clk_in</sysinfo_arg>
  178. </parameter>
  179. <parameter name="resetSynchronousEdges">
  180. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  181. <value>NONE</value>
  182. <derived>false</derived>
  183. <enabled>true</enabled>
  184. <visible>true</visible>
  185. <valid>true</valid>
  186. </parameter>
  187. <parameter name="deviceFamily">
  188. <type>java.lang.String</type>
  189. <value>UNKNOWN</value>
  190. <derived>false</derived>
  191. <enabled>true</enabled>
  192. <visible>true</visible>
  193. <valid>true</valid>
  194. </parameter>
  195. <parameter name="generateLegacySim">
  196. <type>boolean</type>
  197. <value>false</value>
  198. <derived>false</derived>
  199. <enabled>true</enabled>
  200. <visible>true</visible>
  201. <valid>true</valid>
  202. </parameter>
  203. <interface name="clk_in" kind="clock_sink" version="18.1">
  204. <!-- The connection points exposed by a module instance for the
  205. particular module parameters. Connection points and their
  206. parameters are a RESULT of the module parameters. -->
  207. <assignment>
  208. <name>qsys.ui.export_name</name>
  209. <value>clk</value>
  210. </assignment>
  211. <parameter name="externallyDriven">
  212. <type>boolean</type>
  213. <value>false</value>
  214. <derived>false</derived>
  215. <enabled>true</enabled>
  216. <visible>false</visible>
  217. <valid>true</valid>
  218. </parameter>
  219. <parameter name="ptfSchematicName">
  220. <type>java.lang.String</type>
  221. <value></value>
  222. <derived>false</derived>
  223. <enabled>true</enabled>
  224. <visible>false</visible>
  225. <valid>true</valid>
  226. </parameter>
  227. <parameter name="deviceFamily">
  228. <type>java.lang.String</type>
  229. <value>UNKNOWN</value>
  230. <derived>false</derived>
  231. <enabled>true</enabled>
  232. <visible>true</visible>
  233. <valid>true</valid>
  234. </parameter>
  235. <parameter name="generateLegacySim">
  236. <type>boolean</type>
  237. <value>false</value>
  238. <derived>false</derived>
  239. <enabled>true</enabled>
  240. <visible>true</visible>
  241. <valid>true</valid>
  242. </parameter>
  243. <parameter name="clockRateKnown">
  244. <type>java.lang.Boolean</type>
  245. <value>true</value>
  246. <derived>true</derived>
  247. <enabled>true</enabled>
  248. <visible>false</visible>
  249. <valid>true</valid>
  250. </parameter>
  251. <parameter name="clockRate">
  252. <type>java.lang.Long</type>
  253. <value>50000000</value>
  254. <derived>true</derived>
  255. <enabled>true</enabled>
  256. <visible>false</visible>
  257. <valid>true</valid>
  258. </parameter>
  259. <type>clock</type>
  260. <isStart>false</isStart>
  261. <port>
  262. <name>in_clk</name>
  263. <direction>Input</direction>
  264. <width>1</width>
  265. <role>clk</role>
  266. </port>
  267. </interface>
  268. <interface name="clk_in_reset" kind="reset_sink" version="18.1">
  269. <!-- The connection points exposed by a module instance for the
  270. particular module parameters. Connection points and their
  271. parameters are a RESULT of the module parameters. -->
  272. <assignment>
  273. <name>qsys.ui.export_name</name>
  274. <value>reset</value>
  275. </assignment>
  276. <parameter name="associatedClock">
  277. <type>java.lang.String</type>
  278. <value></value>
  279. <derived>false</derived>
  280. <enabled>true</enabled>
  281. <visible>true</visible>
  282. <valid>true</valid>
  283. </parameter>
  284. <parameter name="synchronousEdges">
  285. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  286. <value>NONE</value>
  287. <derived>false</derived>
  288. <enabled>true</enabled>
  289. <visible>true</visible>
  290. <valid>true</valid>
  291. </parameter>
  292. <parameter name="deviceFamily">
  293. <type>java.lang.String</type>
  294. <value>UNKNOWN</value>
  295. <derived>false</derived>
  296. <enabled>true</enabled>
  297. <visible>true</visible>
  298. <valid>true</valid>
  299. </parameter>
  300. <parameter name="generateLegacySim">
  301. <type>boolean</type>
  302. <value>false</value>
  303. <derived>false</derived>
  304. <enabled>true</enabled>
  305. <visible>true</visible>
  306. <valid>true</valid>
  307. </parameter>
  308. <type>reset</type>
  309. <isStart>false</isStart>
  310. <port>
  311. <name>reset_n</name>
  312. <direction>Input</direction>
  313. <width>1</width>
  314. <role>reset_n</role>
  315. </port>
  316. </interface>
  317. <interface name="clk" kind="clock_source" version="18.1">
  318. <!-- The connection points exposed by a module instance for the
  319. particular module parameters. Connection points and their
  320. parameters are a RESULT of the module parameters. -->
  321. <parameter name="associatedDirectClock">
  322. <type>java.lang.String</type>
  323. <value>clk_in</value>
  324. <derived>false</derived>
  325. <enabled>true</enabled>
  326. <visible>true</visible>
  327. <valid>true</valid>
  328. </parameter>
  329. <parameter name="clockRate">
  330. <type>long</type>
  331. <value>50000000</value>
  332. <derived>false</derived>
  333. <enabled>true</enabled>
  334. <visible>true</visible>
  335. <valid>true</valid>
  336. </parameter>
  337. <parameter name="clockRateKnown">
  338. <type>boolean</type>
  339. <value>true</value>
  340. <derived>false</derived>
  341. <enabled>true</enabled>
  342. <visible>true</visible>
  343. <valid>true</valid>
  344. </parameter>
  345. <parameter name="externallyDriven">
  346. <type>boolean</type>
  347. <value>true</value>
  348. <derived>false</derived>
  349. <enabled>true</enabled>
  350. <visible>false</visible>
  351. <valid>true</valid>
  352. </parameter>
  353. <parameter name="ptfSchematicName">
  354. <type>java.lang.String</type>
  355. <value></value>
  356. <derived>false</derived>
  357. <enabled>true</enabled>
  358. <visible>false</visible>
  359. <valid>true</valid>
  360. </parameter>
  361. <parameter name="deviceFamily">
  362. <type>java.lang.String</type>
  363. <value>UNKNOWN</value>
  364. <derived>false</derived>
  365. <enabled>true</enabled>
  366. <visible>true</visible>
  367. <valid>true</valid>
  368. </parameter>
  369. <parameter name="generateLegacySim">
  370. <type>boolean</type>
  371. <value>false</value>
  372. <derived>false</derived>
  373. <enabled>true</enabled>
  374. <visible>true</visible>
  375. <valid>true</valid>
  376. </parameter>
  377. <type>clock</type>
  378. <isStart>true</isStart>
  379. <port>
  380. <name>clk_out</name>
  381. <direction>Output</direction>
  382. <width>1</width>
  383. <role>clk</role>
  384. </port>
  385. <clockDomainMember>
  386. <isBridge>false</isBridge>
  387. <moduleName>nios2</moduleName>
  388. <slaveName>clk</slaveName>
  389. <name>nios2.clk</name>
  390. </clockDomainMember>
  391. <clockDomainMember>
  392. <isBridge>false</isBridge>
  393. <moduleName>pio_LED</moduleName>
  394. <slaveName>clk</slaveName>
  395. <name>pio_LED.clk</name>
  396. </clockDomainMember>
  397. <clockDomainMember>
  398. <isBridge>false</isBridge>
  399. <moduleName>jtag_uart</moduleName>
  400. <slaveName>clk</slaveName>
  401. <name>jtag_uart.clk</name>
  402. </clockDomainMember>
  403. <clockDomainMember>
  404. <isBridge>false</isBridge>
  405. <moduleName>pio_MATRIX</moduleName>
  406. <slaveName>clk</slaveName>
  407. <name>pio_MATRIX.clk</name>
  408. </clockDomainMember>
  409. <clockDomainMember>
  410. <isBridge>false</isBridge>
  411. <moduleName>lcd_16207</moduleName>
  412. <slaveName>clk</slaveName>
  413. <name>lcd_16207.clk</name>
  414. </clockDomainMember>
  415. <clockDomainMember>
  416. <isBridge>false</isBridge>
  417. <moduleName>pio_BUTTON</moduleName>
  418. <slaveName>clk</slaveName>
  419. <name>pio_BUTTON.clk</name>
  420. </clockDomainMember>
  421. <clockDomainMember>
  422. <isBridge>false</isBridge>
  423. <moduleName>onchip_memory2</moduleName>
  424. <slaveName>clk1</slaveName>
  425. <name>onchip_memory2.clk1</name>
  426. </clockDomainMember>
  427. <clockDomainMember>
  428. <isBridge>false</isBridge>
  429. <moduleName>mm_interconnect_0</moduleName>
  430. <slaveName>clk_50_clk</slaveName>
  431. <name>mm_interconnect_0.clk_50_clk</name>
  432. </clockDomainMember>
  433. <clockDomainMember>
  434. <isBridge>false</isBridge>
  435. <moduleName>irq_mapper</moduleName>
  436. <slaveName>clk</slaveName>
  437. <name>irq_mapper.clk</name>
  438. </clockDomainMember>
  439. <clockDomainMember>
  440. <isBridge>false</isBridge>
  441. <moduleName>rst_controller</moduleName>
  442. <slaveName>clk</slaveName>
  443. <name>rst_controller.clk</name>
  444. </clockDomainMember>
  445. <clockDomainMember>
  446. <isBridge>false</isBridge>
  447. <moduleName>rst_translator</moduleName>
  448. <slaveName>clk</slaveName>
  449. <name>rst_translator.clk</name>
  450. </clockDomainMember>
  451. </interface>
  452. <interface name="clk_reset" kind="reset_source" version="18.1">
  453. <!-- The connection points exposed by a module instance for the
  454. particular module parameters. Connection points and their
  455. parameters are a RESULT of the module parameters. -->
  456. <parameter name="associatedClock">
  457. <type>java.lang.String</type>
  458. <value></value>
  459. <derived>false</derived>
  460. <enabled>true</enabled>
  461. <visible>true</visible>
  462. <valid>true</valid>
  463. </parameter>
  464. <parameter name="associatedDirectReset">
  465. <type>java.lang.String</type>
  466. <value>clk_in_reset</value>
  467. <derived>false</derived>
  468. <enabled>true</enabled>
  469. <visible>true</visible>
  470. <valid>true</valid>
  471. </parameter>
  472. <parameter name="associatedResetSinks">
  473. <type>[Ljava.lang.String;</type>
  474. <value>clk_in_reset</value>
  475. <derived>false</derived>
  476. <enabled>true</enabled>
  477. <visible>true</visible>
  478. <valid>true</valid>
  479. </parameter>
  480. <parameter name="synchronousEdges">
  481. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  482. <value>NONE</value>
  483. <derived>false</derived>
  484. <enabled>true</enabled>
  485. <visible>true</visible>
  486. <valid>true</valid>
  487. </parameter>
  488. <parameter name="deviceFamily">
  489. <type>java.lang.String</type>
  490. <value>UNKNOWN</value>
  491. <derived>false</derived>
  492. <enabled>true</enabled>
  493. <visible>true</visible>
  494. <valid>true</valid>
  495. </parameter>
  496. <parameter name="generateLegacySim">
  497. <type>boolean</type>
  498. <value>false</value>
  499. <derived>false</derived>
  500. <enabled>true</enabled>
  501. <visible>true</visible>
  502. <valid>true</valid>
  503. </parameter>
  504. <type>reset</type>
  505. <isStart>true</isStart>
  506. <port>
  507. <name>reset_n_out</name>
  508. <direction>Output</direction>
  509. <width>1</width>
  510. <role>reset_n</role>
  511. </port>
  512. </interface>
  513. </module>
  514. <module
  515. name="jtag_uart"
  516. kind="altera_avalon_jtag_uart"
  517. version="18.1"
  518. path="jtag_uart">
  519. <!-- Describes a single module. Module parameters are
  520. the requested settings for a module instance. -->
  521. <assignment>
  522. <name>embeddedsw.CMacro.READ_DEPTH</name>
  523. <value>64</value>
  524. </assignment>
  525. <assignment>
  526. <name>embeddedsw.CMacro.READ_THRESHOLD</name>
  527. <value>8</value>
  528. </assignment>
  529. <assignment>
  530. <name>embeddedsw.CMacro.WRITE_DEPTH</name>
  531. <value>64</value>
  532. </assignment>
  533. <assignment>
  534. <name>embeddedsw.CMacro.WRITE_THRESHOLD</name>
  535. <value>8</value>
  536. </assignment>
  537. <assignment>
  538. <name>embeddedsw.dts.compatible</name>
  539. <value>altr,juart-1.0</value>
  540. </assignment>
  541. <assignment>
  542. <name>embeddedsw.dts.group</name>
  543. <value>serial</value>
  544. </assignment>
  545. <assignment>
  546. <name>embeddedsw.dts.name</name>
  547. <value>juart</value>
  548. </assignment>
  549. <assignment>
  550. <name>embeddedsw.dts.vendor</name>
  551. <value>altr</value>
  552. </assignment>
  553. <parameter name="allowMultipleConnections">
  554. <type>boolean</type>
  555. <value>false</value>
  556. <derived>false</derived>
  557. <enabled>true</enabled>
  558. <visible>false</visible>
  559. <valid>true</valid>
  560. </parameter>
  561. <parameter name="hubInstanceID">
  562. <type>int</type>
  563. <value>0</value>
  564. <derived>false</derived>
  565. <enabled>true</enabled>
  566. <visible>false</visible>
  567. <valid>true</valid>
  568. </parameter>
  569. <parameter name="readBufferDepth">
  570. <type>int</type>
  571. <value>64</value>
  572. <derived>false</derived>
  573. <enabled>true</enabled>
  574. <visible>true</visible>
  575. <valid>true</valid>
  576. </parameter>
  577. <parameter name="readIRQThreshold">
  578. <type>int</type>
  579. <value>8</value>
  580. <derived>false</derived>
  581. <enabled>true</enabled>
  582. <visible>true</visible>
  583. <valid>true</valid>
  584. </parameter>
  585. <parameter name="simInputCharacterStream">
  586. <type>java.lang.String</type>
  587. <value></value>
  588. <derived>false</derived>
  589. <enabled>false</enabled>
  590. <visible>false</visible>
  591. <valid>true</valid>
  592. </parameter>
  593. <parameter name="simInteractiveOptions">
  594. <type>java.lang.String</type>
  595. <value>NO_INTERACTIVE_WINDOWS</value>
  596. <derived>false</derived>
  597. <enabled>true</enabled>
  598. <visible>false</visible>
  599. <valid>true</valid>
  600. </parameter>
  601. <parameter name="useRegistersForReadBuffer">
  602. <type>boolean</type>
  603. <value>false</value>
  604. <derived>false</derived>
  605. <enabled>true</enabled>
  606. <visible>true</visible>
  607. <valid>true</valid>
  608. </parameter>
  609. <parameter name="useRegistersForWriteBuffer">
  610. <type>boolean</type>
  611. <value>false</value>
  612. <derived>false</derived>
  613. <enabled>true</enabled>
  614. <visible>true</visible>
  615. <valid>true</valid>
  616. </parameter>
  617. <parameter name="useRelativePathForSimFile">
  618. <type>boolean</type>
  619. <value>false</value>
  620. <derived>false</derived>
  621. <enabled>true</enabled>
  622. <visible>false</visible>
  623. <valid>true</valid>
  624. </parameter>
  625. <parameter name="writeBufferDepth">
  626. <type>int</type>
  627. <value>64</value>
  628. <derived>false</derived>
  629. <enabled>true</enabled>
  630. <visible>true</visible>
  631. <valid>true</valid>
  632. </parameter>
  633. <parameter name="writeIRQThreshold">
  634. <type>int</type>
  635. <value>8</value>
  636. <derived>false</derived>
  637. <enabled>true</enabled>
  638. <visible>true</visible>
  639. <valid>true</valid>
  640. </parameter>
  641. <parameter name="clkFreq">
  642. <type>long</type>
  643. <value>50000000</value>
  644. <derived>false</derived>
  645. <enabled>true</enabled>
  646. <visible>false</visible>
  647. <valid>true</valid>
  648. <sysinfo_type>CLOCK_RATE</sysinfo_type>
  649. <sysinfo_arg>clk</sysinfo_arg>
  650. </parameter>
  651. <parameter name="avalonSpec">
  652. <type>java.lang.String</type>
  653. <value>2.0</value>
  654. <derived>false</derived>
  655. <enabled>true</enabled>
  656. <visible>false</visible>
  657. <valid>true</valid>
  658. <sysinfo_type>AVALON_SPEC</sysinfo_type>
  659. </parameter>
  660. <parameter name="legacySignalAllow">
  661. <type>boolean</type>
  662. <value>false</value>
  663. <derived>true</derived>
  664. <enabled>true</enabled>
  665. <visible>false</visible>
  666. <valid>true</valid>
  667. </parameter>
  668. <parameter name="enableInteractiveInput">
  669. <type>boolean</type>
  670. <value>false</value>
  671. <derived>true</derived>
  672. <enabled>true</enabled>
  673. <visible>false</visible>
  674. <valid>true</valid>
  675. </parameter>
  676. <parameter name="enableInteractiveOutput">
  677. <type>boolean</type>
  678. <value>false</value>
  679. <derived>true</derived>
  680. <enabled>true</enabled>
  681. <visible>false</visible>
  682. <valid>true</valid>
  683. </parameter>
  684. <parameter name="deviceFamily">
  685. <type>java.lang.String</type>
  686. <value>UNKNOWN</value>
  687. <derived>false</derived>
  688. <enabled>true</enabled>
  689. <visible>true</visible>
  690. <valid>true</valid>
  691. </parameter>
  692. <parameter name="generateLegacySim">
  693. <type>boolean</type>
  694. <value>false</value>
  695. <derived>false</derived>
  696. <enabled>true</enabled>
  697. <visible>true</visible>
  698. <valid>true</valid>
  699. </parameter>
  700. <interface name="clk" kind="clock_sink" version="18.1">
  701. <!-- The connection points exposed by a module instance for the
  702. particular module parameters. Connection points and their
  703. parameters are a RESULT of the module parameters. -->
  704. <parameter name="externallyDriven">
  705. <type>boolean</type>
  706. <value>false</value>
  707. <derived>false</derived>
  708. <enabled>true</enabled>
  709. <visible>false</visible>
  710. <valid>true</valid>
  711. </parameter>
  712. <parameter name="ptfSchematicName">
  713. <type>java.lang.String</type>
  714. <value></value>
  715. <derived>false</derived>
  716. <enabled>true</enabled>
  717. <visible>false</visible>
  718. <valid>true</valid>
  719. </parameter>
  720. <parameter name="deviceFamily">
  721. <type>java.lang.String</type>
  722. <value>UNKNOWN</value>
  723. <derived>false</derived>
  724. <enabled>true</enabled>
  725. <visible>true</visible>
  726. <valid>true</valid>
  727. </parameter>
  728. <parameter name="generateLegacySim">
  729. <type>boolean</type>
  730. <value>false</value>
  731. <derived>false</derived>
  732. <enabled>true</enabled>
  733. <visible>true</visible>
  734. <valid>true</valid>
  735. </parameter>
  736. <parameter name="clockRateKnown">
  737. <type>java.lang.Boolean</type>
  738. <value>true</value>
  739. <derived>true</derived>
  740. <enabled>true</enabled>
  741. <visible>false</visible>
  742. <valid>true</valid>
  743. </parameter>
  744. <parameter name="clockRate">
  745. <type>java.lang.Long</type>
  746. <value>50000000</value>
  747. <derived>true</derived>
  748. <enabled>true</enabled>
  749. <visible>false</visible>
  750. <valid>true</valid>
  751. </parameter>
  752. <type>clock</type>
  753. <isStart>false</isStart>
  754. <port>
  755. <name>clk</name>
  756. <direction>Input</direction>
  757. <width>1</width>
  758. <role>clk</role>
  759. </port>
  760. </interface>
  761. <interface name="reset" kind="reset_sink" version="18.1">
  762. <!-- The connection points exposed by a module instance for the
  763. particular module parameters. Connection points and their
  764. parameters are a RESULT of the module parameters. -->
  765. <parameter name="associatedClock">
  766. <type>java.lang.String</type>
  767. <value>clk</value>
  768. <derived>false</derived>
  769. <enabled>true</enabled>
  770. <visible>true</visible>
  771. <valid>true</valid>
  772. </parameter>
  773. <parameter name="synchronousEdges">
  774. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  775. <value>DEASSERT</value>
  776. <derived>false</derived>
  777. <enabled>true</enabled>
  778. <visible>true</visible>
  779. <valid>true</valid>
  780. </parameter>
  781. <parameter name="deviceFamily">
  782. <type>java.lang.String</type>
  783. <value>UNKNOWN</value>
  784. <derived>false</derived>
  785. <enabled>true</enabled>
  786. <visible>true</visible>
  787. <valid>true</valid>
  788. </parameter>
  789. <parameter name="generateLegacySim">
  790. <type>boolean</type>
  791. <value>false</value>
  792. <derived>false</derived>
  793. <enabled>true</enabled>
  794. <visible>true</visible>
  795. <valid>true</valid>
  796. </parameter>
  797. <type>reset</type>
  798. <isStart>false</isStart>
  799. <port>
  800. <name>rst_n</name>
  801. <direction>Input</direction>
  802. <width>1</width>
  803. <role>reset_n</role>
  804. </port>
  805. </interface>
  806. <interface name="avalon_jtag_slave" kind="avalon_slave" version="18.1">
  807. <!-- The connection points exposed by a module instance for the
  808. particular module parameters. Connection points and their
  809. parameters are a RESULT of the module parameters. -->
  810. <assignment>
  811. <name>embeddedsw.configuration.isFlash</name>
  812. <value>0</value>
  813. </assignment>
  814. <assignment>
  815. <name>embeddedsw.configuration.isMemoryDevice</name>
  816. <value>0</value>
  817. </assignment>
  818. <assignment>
  819. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  820. <value>0</value>
  821. </assignment>
  822. <assignment>
  823. <name>embeddedsw.configuration.isPrintableDevice</name>
  824. <value>1</value>
  825. </assignment>
  826. <parameter name="addressAlignment">
  827. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  828. <value>NATIVE</value>
  829. <derived>false</derived>
  830. <enabled>true</enabled>
  831. <visible>true</visible>
  832. <valid>true</valid>
  833. </parameter>
  834. <parameter name="addressGroup">
  835. <type>int</type>
  836. <value>0</value>
  837. <derived>false</derived>
  838. <enabled>true</enabled>
  839. <visible>false</visible>
  840. <valid>true</valid>
  841. </parameter>
  842. <parameter name="addressSpan">
  843. <type>java.math.BigInteger</type>
  844. <value>2</value>
  845. <derived>true</derived>
  846. <enabled>true</enabled>
  847. <visible>false</visible>
  848. <valid>true</valid>
  849. </parameter>
  850. <parameter name="addressUnits">
  851. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  852. <value>WORDS</value>
  853. <derived>false</derived>
  854. <enabled>true</enabled>
  855. <visible>true</visible>
  856. <valid>true</valid>
  857. </parameter>
  858. <parameter name="alwaysBurstMaxBurst">
  859. <type>boolean</type>
  860. <value>false</value>
  861. <derived>false</derived>
  862. <enabled>true</enabled>
  863. <visible>false</visible>
  864. <valid>true</valid>
  865. </parameter>
  866. <parameter name="associatedClock">
  867. <type>java.lang.String</type>
  868. <value>clk</value>
  869. <derived>false</derived>
  870. <enabled>true</enabled>
  871. <visible>true</visible>
  872. <valid>true</valid>
  873. </parameter>
  874. <parameter name="associatedReset">
  875. <type>java.lang.String</type>
  876. <value>reset</value>
  877. <derived>false</derived>
  878. <enabled>true</enabled>
  879. <visible>false</visible>
  880. <valid>true</valid>
  881. </parameter>
  882. <parameter name="bitsPerSymbol">
  883. <type>int</type>
  884. <value>8</value>
  885. <derived>false</derived>
  886. <enabled>true</enabled>
  887. <visible>false</visible>
  888. <valid>true</valid>
  889. </parameter>
  890. <parameter name="bridgedAddressOffset">
  891. <type>java.math.BigInteger</type>
  892. <value></value>
  893. <derived>false</derived>
  894. <enabled>true</enabled>
  895. <visible>false</visible>
  896. <valid>true</valid>
  897. </parameter>
  898. <parameter name="bridgesToMaster">
  899. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  900. <value></value>
  901. <derived>false</derived>
  902. <enabled>true</enabled>
  903. <visible>false</visible>
  904. <valid>true</valid>
  905. </parameter>
  906. <parameter name="burstOnBurstBoundariesOnly">
  907. <type>boolean</type>
  908. <value>false</value>
  909. <derived>false</derived>
  910. <enabled>true</enabled>
  911. <visible>true</visible>
  912. <valid>true</valid>
  913. </parameter>
  914. <parameter name="burstcountUnits">
  915. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  916. <value>WORDS</value>
  917. <derived>false</derived>
  918. <enabled>true</enabled>
  919. <visible>false</visible>
  920. <valid>true</valid>
  921. </parameter>
  922. <parameter name="constantBurstBehavior">
  923. <type>boolean</type>
  924. <value>false</value>
  925. <derived>false</derived>
  926. <enabled>true</enabled>
  927. <visible>false</visible>
  928. <valid>true</valid>
  929. </parameter>
  930. <parameter name="explicitAddressSpan">
  931. <type>java.math.BigInteger</type>
  932. <value>0</value>
  933. <derived>false</derived>
  934. <enabled>true</enabled>
  935. <visible>true</visible>
  936. <valid>true</valid>
  937. </parameter>
  938. <parameter name="holdTime">
  939. <type>int</type>
  940. <value>0</value>
  941. <derived>false</derived>
  942. <enabled>true</enabled>
  943. <visible>true</visible>
  944. <valid>true</valid>
  945. </parameter>
  946. <parameter name="interleaveBursts">
  947. <type>boolean</type>
  948. <value>false</value>
  949. <derived>false</derived>
  950. <enabled>true</enabled>
  951. <visible>false</visible>
  952. <valid>true</valid>
  953. </parameter>
  954. <parameter name="isBigEndian">
  955. <type>boolean</type>
  956. <value>false</value>
  957. <derived>false</derived>
  958. <enabled>true</enabled>
  959. <visible>false</visible>
  960. <valid>true</valid>
  961. </parameter>
  962. <parameter name="isFlash">
  963. <type>boolean</type>
  964. <value>false</value>
  965. <derived>false</derived>
  966. <enabled>true</enabled>
  967. <visible>false</visible>
  968. <valid>true</valid>
  969. </parameter>
  970. <parameter name="isMemoryDevice">
  971. <type>boolean</type>
  972. <value>false</value>
  973. <derived>false</derived>
  974. <enabled>true</enabled>
  975. <visible>true</visible>
  976. <valid>true</valid>
  977. </parameter>
  978. <parameter name="isNonVolatileStorage">
  979. <type>boolean</type>
  980. <value>false</value>
  981. <derived>false</derived>
  982. <enabled>true</enabled>
  983. <visible>true</visible>
  984. <valid>true</valid>
  985. </parameter>
  986. <parameter name="linewrapBursts">
  987. <type>boolean</type>
  988. <value>false</value>
  989. <derived>false</derived>
  990. <enabled>true</enabled>
  991. <visible>true</visible>
  992. <valid>true</valid>
  993. </parameter>
  994. <parameter name="maximumPendingReadTransactions">
  995. <type>int</type>
  996. <value>0</value>
  997. <derived>false</derived>
  998. <enabled>false</enabled>
  999. <visible>true</visible>
  1000. <valid>true</valid>
  1001. </parameter>
  1002. <parameter name="maximumPendingWriteTransactions">
  1003. <type>int</type>
  1004. <value>0</value>
  1005. <derived>false</derived>
  1006. <enabled>false</enabled>
  1007. <visible>true</visible>
  1008. <valid>true</valid>
  1009. </parameter>
  1010. <parameter name="minimumUninterruptedRunLength">
  1011. <type>int</type>
  1012. <value>1</value>
  1013. <derived>false</derived>
  1014. <enabled>true</enabled>
  1015. <visible>false</visible>
  1016. <valid>true</valid>
  1017. </parameter>
  1018. <parameter name="printableDevice">
  1019. <type>boolean</type>
  1020. <value>true</value>
  1021. <derived>false</derived>
  1022. <enabled>true</enabled>
  1023. <visible>true</visible>
  1024. <valid>true</valid>
  1025. </parameter>
  1026. <parameter name="readLatency">
  1027. <type>int</type>
  1028. <value>0</value>
  1029. <derived>false</derived>
  1030. <enabled>true</enabled>
  1031. <visible>true</visible>
  1032. <valid>true</valid>
  1033. </parameter>
  1034. <parameter name="readWaitStates">
  1035. <type>int</type>
  1036. <value>1</value>
  1037. <derived>false</derived>
  1038. <enabled>true</enabled>
  1039. <visible>false</visible>
  1040. <valid>true</valid>
  1041. </parameter>
  1042. <parameter name="readWaitTime">
  1043. <type>int</type>
  1044. <value>1</value>
  1045. <derived>false</derived>
  1046. <enabled>true</enabled>
  1047. <visible>true</visible>
  1048. <valid>true</valid>
  1049. </parameter>
  1050. <parameter name="registerIncomingSignals">
  1051. <type>boolean</type>
  1052. <value>false</value>
  1053. <derived>false</derived>
  1054. <enabled>true</enabled>
  1055. <visible>false</visible>
  1056. <valid>true</valid>
  1057. </parameter>
  1058. <parameter name="registerOutgoingSignals">
  1059. <type>boolean</type>
  1060. <value>false</value>
  1061. <derived>false</derived>
  1062. <enabled>true</enabled>
  1063. <visible>false</visible>
  1064. <valid>true</valid>
  1065. </parameter>
  1066. <parameter name="setupTime">
  1067. <type>int</type>
  1068. <value>0</value>
  1069. <derived>false</derived>
  1070. <enabled>true</enabled>
  1071. <visible>true</visible>
  1072. <valid>true</valid>
  1073. </parameter>
  1074. <parameter name="timingUnits">
  1075. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  1076. <value>Cycles</value>
  1077. <derived>false</derived>
  1078. <enabled>true</enabled>
  1079. <visible>true</visible>
  1080. <valid>true</valid>
  1081. </parameter>
  1082. <parameter name="transparentBridge">
  1083. <type>boolean</type>
  1084. <value>false</value>
  1085. <derived>false</derived>
  1086. <enabled>true</enabled>
  1087. <visible>false</visible>
  1088. <valid>true</valid>
  1089. </parameter>
  1090. <parameter name="wellBehavedWaitrequest">
  1091. <type>boolean</type>
  1092. <value>false</value>
  1093. <derived>false</derived>
  1094. <enabled>true</enabled>
  1095. <visible>false</visible>
  1096. <valid>true</valid>
  1097. </parameter>
  1098. <parameter name="writeLatency">
  1099. <type>int</type>
  1100. <value>0</value>
  1101. <derived>false</derived>
  1102. <enabled>true</enabled>
  1103. <visible>false</visible>
  1104. <valid>true</valid>
  1105. </parameter>
  1106. <parameter name="writeWaitStates">
  1107. <type>int</type>
  1108. <value>0</value>
  1109. <derived>false</derived>
  1110. <enabled>true</enabled>
  1111. <visible>false</visible>
  1112. <valid>true</valid>
  1113. </parameter>
  1114. <parameter name="writeWaitTime">
  1115. <type>int</type>
  1116. <value>0</value>
  1117. <derived>false</derived>
  1118. <enabled>true</enabled>
  1119. <visible>true</visible>
  1120. <valid>true</valid>
  1121. </parameter>
  1122. <parameter name="deviceFamily">
  1123. <type>java.lang.String</type>
  1124. <value>UNKNOWN</value>
  1125. <derived>false</derived>
  1126. <enabled>true</enabled>
  1127. <visible>true</visible>
  1128. <valid>true</valid>
  1129. </parameter>
  1130. <parameter name="generateLegacySim">
  1131. <type>boolean</type>
  1132. <value>false</value>
  1133. <derived>false</derived>
  1134. <enabled>true</enabled>
  1135. <visible>true</visible>
  1136. <valid>true</valid>
  1137. </parameter>
  1138. <type>avalon</type>
  1139. <isStart>false</isStart>
  1140. <port>
  1141. <name>av_chipselect</name>
  1142. <direction>Input</direction>
  1143. <width>1</width>
  1144. <role>chipselect</role>
  1145. </port>
  1146. <port>
  1147. <name>av_address</name>
  1148. <direction>Input</direction>
  1149. <width>1</width>
  1150. <role>address</role>
  1151. </port>
  1152. <port>
  1153. <name>av_read_n</name>
  1154. <direction>Input</direction>
  1155. <width>1</width>
  1156. <role>read_n</role>
  1157. </port>
  1158. <port>
  1159. <name>av_readdata</name>
  1160. <direction>Output</direction>
  1161. <width>32</width>
  1162. <role>readdata</role>
  1163. </port>
  1164. <port>
  1165. <name>av_write_n</name>
  1166. <direction>Input</direction>
  1167. <width>1</width>
  1168. <role>write_n</role>
  1169. </port>
  1170. <port>
  1171. <name>av_writedata</name>
  1172. <direction>Input</direction>
  1173. <width>32</width>
  1174. <role>writedata</role>
  1175. </port>
  1176. <port>
  1177. <name>av_waitrequest</name>
  1178. <direction>Output</direction>
  1179. <width>1</width>
  1180. <role>waitrequest</role>
  1181. </port>
  1182. </interface>
  1183. <interface name="irq" kind="interrupt_sender" version="18.1">
  1184. <!-- The connection points exposed by a module instance for the
  1185. particular module parameters. Connection points and their
  1186. parameters are a RESULT of the module parameters. -->
  1187. <parameter name="associatedAddressablePoint">
  1188. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  1189. <value>jtag_uart.avalon_jtag_slave</value>
  1190. <derived>false</derived>
  1191. <enabled>true</enabled>
  1192. <visible>true</visible>
  1193. <valid>true</valid>
  1194. </parameter>
  1195. <parameter name="associatedClock">
  1196. <type>java.lang.String</type>
  1197. <value>clk</value>
  1198. <derived>false</derived>
  1199. <enabled>true</enabled>
  1200. <visible>false</visible>
  1201. <valid>true</valid>
  1202. </parameter>
  1203. <parameter name="associatedReset">
  1204. <type>java.lang.String</type>
  1205. <value>reset</value>
  1206. <derived>false</derived>
  1207. <enabled>true</enabled>
  1208. <visible>false</visible>
  1209. <valid>true</valid>
  1210. </parameter>
  1211. <parameter name="bridgedReceiverOffset">
  1212. <type>java.lang.Integer</type>
  1213. <value></value>
  1214. <derived>false</derived>
  1215. <enabled>true</enabled>
  1216. <visible>true</visible>
  1217. <valid>true</valid>
  1218. </parameter>
  1219. <parameter name="bridgesToReceiver">
  1220. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  1221. <value></value>
  1222. <derived>false</derived>
  1223. <enabled>true</enabled>
  1224. <visible>true</visible>
  1225. <valid>true</valid>
  1226. </parameter>
  1227. <parameter name="irqScheme">
  1228. <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
  1229. <value>NONE</value>
  1230. <derived>false</derived>
  1231. <enabled>true</enabled>
  1232. <visible>false</visible>
  1233. <valid>true</valid>
  1234. </parameter>
  1235. <parameter name="deviceFamily">
  1236. <type>java.lang.String</type>
  1237. <value>UNKNOWN</value>
  1238. <derived>false</derived>
  1239. <enabled>true</enabled>
  1240. <visible>true</visible>
  1241. <valid>true</valid>
  1242. </parameter>
  1243. <parameter name="generateLegacySim">
  1244. <type>boolean</type>
  1245. <value>false</value>
  1246. <derived>false</derived>
  1247. <enabled>true</enabled>
  1248. <visible>true</visible>
  1249. <valid>true</valid>
  1250. </parameter>
  1251. <type>interrupt</type>
  1252. <isStart>false</isStart>
  1253. <port>
  1254. <name>av_irq</name>
  1255. <direction>Output</direction>
  1256. <width>1</width>
  1257. <role>irq</role>
  1258. </port>
  1259. </interface>
  1260. </module>
  1261. <module
  1262. name="lcd_16207"
  1263. kind="altera_avalon_lcd_16207"
  1264. version="18.1"
  1265. path="lcd_16207">
  1266. <!-- Describes a single module. Module parameters are
  1267. the requested settings for a module instance. -->
  1268. <parameter name="deviceFamily">
  1269. <type>java.lang.String</type>
  1270. <value>UNKNOWN</value>
  1271. <derived>false</derived>
  1272. <enabled>true</enabled>
  1273. <visible>true</visible>
  1274. <valid>true</valid>
  1275. </parameter>
  1276. <parameter name="generateLegacySim">
  1277. <type>boolean</type>
  1278. <value>false</value>
  1279. <derived>false</derived>
  1280. <enabled>true</enabled>
  1281. <visible>true</visible>
  1282. <valid>true</valid>
  1283. </parameter>
  1284. <interface name="reset" kind="reset_sink" version="18.1">
  1285. <!-- The connection points exposed by a module instance for the
  1286. particular module parameters. Connection points and their
  1287. parameters are a RESULT of the module parameters. -->
  1288. <parameter name="associatedClock">
  1289. <type>java.lang.String</type>
  1290. <value>clk</value>
  1291. <derived>false</derived>
  1292. <enabled>true</enabled>
  1293. <visible>true</visible>
  1294. <valid>true</valid>
  1295. </parameter>
  1296. <parameter name="synchronousEdges">
  1297. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  1298. <value>DEASSERT</value>
  1299. <derived>false</derived>
  1300. <enabled>true</enabled>
  1301. <visible>true</visible>
  1302. <valid>true</valid>
  1303. </parameter>
  1304. <parameter name="deviceFamily">
  1305. <type>java.lang.String</type>
  1306. <value>UNKNOWN</value>
  1307. <derived>false</derived>
  1308. <enabled>true</enabled>
  1309. <visible>true</visible>
  1310. <valid>true</valid>
  1311. </parameter>
  1312. <parameter name="generateLegacySim">
  1313. <type>boolean</type>
  1314. <value>false</value>
  1315. <derived>false</derived>
  1316. <enabled>true</enabled>
  1317. <visible>true</visible>
  1318. <valid>true</valid>
  1319. </parameter>
  1320. <type>reset</type>
  1321. <isStart>false</isStart>
  1322. <port>
  1323. <name>reset_n</name>
  1324. <direction>Input</direction>
  1325. <width>1</width>
  1326. <role>reset_n</role>
  1327. </port>
  1328. </interface>
  1329. <interface name="clk" kind="clock_sink" version="18.1">
  1330. <!-- The connection points exposed by a module instance for the
  1331. particular module parameters. Connection points and their
  1332. parameters are a RESULT of the module parameters. -->
  1333. <parameter name="externallyDriven">
  1334. <type>boolean</type>
  1335. <value>false</value>
  1336. <derived>false</derived>
  1337. <enabled>true</enabled>
  1338. <visible>false</visible>
  1339. <valid>true</valid>
  1340. </parameter>
  1341. <parameter name="ptfSchematicName">
  1342. <type>java.lang.String</type>
  1343. <value></value>
  1344. <derived>false</derived>
  1345. <enabled>true</enabled>
  1346. <visible>false</visible>
  1347. <valid>true</valid>
  1348. </parameter>
  1349. <parameter name="deviceFamily">
  1350. <type>java.lang.String</type>
  1351. <value>UNKNOWN</value>
  1352. <derived>false</derived>
  1353. <enabled>true</enabled>
  1354. <visible>true</visible>
  1355. <valid>true</valid>
  1356. </parameter>
  1357. <parameter name="generateLegacySim">
  1358. <type>boolean</type>
  1359. <value>false</value>
  1360. <derived>false</derived>
  1361. <enabled>true</enabled>
  1362. <visible>true</visible>
  1363. <valid>true</valid>
  1364. </parameter>
  1365. <type>clock</type>
  1366. <isStart>false</isStart>
  1367. <port>
  1368. <name>clk</name>
  1369. <direction>Input</direction>
  1370. <width>1</width>
  1371. <role>clk</role>
  1372. </port>
  1373. </interface>
  1374. <interface name="control_slave" kind="avalon_slave" version="18.1">
  1375. <!-- The connection points exposed by a module instance for the
  1376. particular module parameters. Connection points and their
  1377. parameters are a RESULT of the module parameters. -->
  1378. <assignment>
  1379. <name>embeddedsw.configuration.isMemoryDevice</name>
  1380. <value>false</value>
  1381. </assignment>
  1382. <assignment>
  1383. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  1384. <value>false</value>
  1385. </assignment>
  1386. <assignment>
  1387. <name>embeddedsw.configuration.isPrintableDevice</name>
  1388. <value>1</value>
  1389. </assignment>
  1390. <parameter name="addressAlignment">
  1391. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  1392. <value>NATIVE</value>
  1393. <derived>false</derived>
  1394. <enabled>true</enabled>
  1395. <visible>true</visible>
  1396. <valid>true</valid>
  1397. </parameter>
  1398. <parameter name="addressGroup">
  1399. <type>int</type>
  1400. <value>0</value>
  1401. <derived>false</derived>
  1402. <enabled>true</enabled>
  1403. <visible>false</visible>
  1404. <valid>true</valid>
  1405. </parameter>
  1406. <parameter name="addressSpan">
  1407. <type>java.math.BigInteger</type>
  1408. <value>4</value>
  1409. <derived>true</derived>
  1410. <enabled>true</enabled>
  1411. <visible>false</visible>
  1412. <valid>true</valid>
  1413. </parameter>
  1414. <parameter name="addressUnits">
  1415. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  1416. <value>WORDS</value>
  1417. <derived>false</derived>
  1418. <enabled>true</enabled>
  1419. <visible>true</visible>
  1420. <valid>true</valid>
  1421. </parameter>
  1422. <parameter name="alwaysBurstMaxBurst">
  1423. <type>boolean</type>
  1424. <value>false</value>
  1425. <derived>false</derived>
  1426. <enabled>true</enabled>
  1427. <visible>false</visible>
  1428. <valid>true</valid>
  1429. </parameter>
  1430. <parameter name="associatedClock">
  1431. <type>java.lang.String</type>
  1432. <value>clk</value>
  1433. <derived>false</derived>
  1434. <enabled>true</enabled>
  1435. <visible>true</visible>
  1436. <valid>true</valid>
  1437. </parameter>
  1438. <parameter name="associatedReset">
  1439. <type>java.lang.String</type>
  1440. <value>reset</value>
  1441. <derived>false</derived>
  1442. <enabled>true</enabled>
  1443. <visible>false</visible>
  1444. <valid>true</valid>
  1445. </parameter>
  1446. <parameter name="bitsPerSymbol">
  1447. <type>int</type>
  1448. <value>8</value>
  1449. <derived>false</derived>
  1450. <enabled>true</enabled>
  1451. <visible>false</visible>
  1452. <valid>true</valid>
  1453. </parameter>
  1454. <parameter name="bridgedAddressOffset">
  1455. <type>java.math.BigInteger</type>
  1456. <value></value>
  1457. <derived>false</derived>
  1458. <enabled>true</enabled>
  1459. <visible>false</visible>
  1460. <valid>true</valid>
  1461. </parameter>
  1462. <parameter name="bridgesToMaster">
  1463. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  1464. <value></value>
  1465. <derived>false</derived>
  1466. <enabled>true</enabled>
  1467. <visible>false</visible>
  1468. <valid>true</valid>
  1469. </parameter>
  1470. <parameter name="burstOnBurstBoundariesOnly">
  1471. <type>boolean</type>
  1472. <value>false</value>
  1473. <derived>false</derived>
  1474. <enabled>true</enabled>
  1475. <visible>true</visible>
  1476. <valid>true</valid>
  1477. </parameter>
  1478. <parameter name="burstcountUnits">
  1479. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  1480. <value>WORDS</value>
  1481. <derived>false</derived>
  1482. <enabled>true</enabled>
  1483. <visible>false</visible>
  1484. <valid>true</valid>
  1485. </parameter>
  1486. <parameter name="constantBurstBehavior">
  1487. <type>boolean</type>
  1488. <value>false</value>
  1489. <derived>false</derived>
  1490. <enabled>true</enabled>
  1491. <visible>false</visible>
  1492. <valid>true</valid>
  1493. </parameter>
  1494. <parameter name="explicitAddressSpan">
  1495. <type>java.math.BigInteger</type>
  1496. <value>0</value>
  1497. <derived>false</derived>
  1498. <enabled>true</enabled>
  1499. <visible>true</visible>
  1500. <valid>true</valid>
  1501. </parameter>
  1502. <parameter name="holdTime">
  1503. <type>int</type>
  1504. <value>250</value>
  1505. <derived>false</derived>
  1506. <enabled>true</enabled>
  1507. <visible>true</visible>
  1508. <valid>true</valid>
  1509. </parameter>
  1510. <parameter name="interleaveBursts">
  1511. <type>boolean</type>
  1512. <value>false</value>
  1513. <derived>false</derived>
  1514. <enabled>true</enabled>
  1515. <visible>false</visible>
  1516. <valid>true</valid>
  1517. </parameter>
  1518. <parameter name="isBigEndian">
  1519. <type>boolean</type>
  1520. <value>false</value>
  1521. <derived>false</derived>
  1522. <enabled>true</enabled>
  1523. <visible>false</visible>
  1524. <valid>true</valid>
  1525. </parameter>
  1526. <parameter name="isFlash">
  1527. <type>boolean</type>
  1528. <value>false</value>
  1529. <derived>false</derived>
  1530. <enabled>true</enabled>
  1531. <visible>false</visible>
  1532. <valid>true</valid>
  1533. </parameter>
  1534. <parameter name="isMemoryDevice">
  1535. <type>boolean</type>
  1536. <value>false</value>
  1537. <derived>false</derived>
  1538. <enabled>true</enabled>
  1539. <visible>true</visible>
  1540. <valid>true</valid>
  1541. </parameter>
  1542. <parameter name="isNonVolatileStorage">
  1543. <type>boolean</type>
  1544. <value>false</value>
  1545. <derived>false</derived>
  1546. <enabled>true</enabled>
  1547. <visible>true</visible>
  1548. <valid>true</valid>
  1549. </parameter>
  1550. <parameter name="linewrapBursts">
  1551. <type>boolean</type>
  1552. <value>false</value>
  1553. <derived>false</derived>
  1554. <enabled>true</enabled>
  1555. <visible>true</visible>
  1556. <valid>true</valid>
  1557. </parameter>
  1558. <parameter name="maximumPendingReadTransactions">
  1559. <type>int</type>
  1560. <value>0</value>
  1561. <derived>false</derived>
  1562. <enabled>false</enabled>
  1563. <visible>true</visible>
  1564. <valid>true</valid>
  1565. </parameter>
  1566. <parameter name="maximumPendingWriteTransactions">
  1567. <type>int</type>
  1568. <value>0</value>
  1569. <derived>false</derived>
  1570. <enabled>false</enabled>
  1571. <visible>true</visible>
  1572. <valid>true</valid>
  1573. </parameter>
  1574. <parameter name="minimumUninterruptedRunLength">
  1575. <type>int</type>
  1576. <value>1</value>
  1577. <derived>false</derived>
  1578. <enabled>true</enabled>
  1579. <visible>false</visible>
  1580. <valid>true</valid>
  1581. </parameter>
  1582. <parameter name="printableDevice">
  1583. <type>boolean</type>
  1584. <value>true</value>
  1585. <derived>false</derived>
  1586. <enabled>true</enabled>
  1587. <visible>true</visible>
  1588. <valid>true</valid>
  1589. </parameter>
  1590. <parameter name="readLatency">
  1591. <type>int</type>
  1592. <value>0</value>
  1593. <derived>false</derived>
  1594. <enabled>true</enabled>
  1595. <visible>true</visible>
  1596. <valid>true</valid>
  1597. </parameter>
  1598. <parameter name="readWaitStates">
  1599. <type>int</type>
  1600. <value>250</value>
  1601. <derived>false</derived>
  1602. <enabled>true</enabled>
  1603. <visible>false</visible>
  1604. <valid>true</valid>
  1605. </parameter>
  1606. <parameter name="readWaitTime">
  1607. <type>int</type>
  1608. <value>250</value>
  1609. <derived>false</derived>
  1610. <enabled>true</enabled>
  1611. <visible>true</visible>
  1612. <valid>true</valid>
  1613. </parameter>
  1614. <parameter name="registerIncomingSignals">
  1615. <type>boolean</type>
  1616. <value>false</value>
  1617. <derived>false</derived>
  1618. <enabled>true</enabled>
  1619. <visible>false</visible>
  1620. <valid>true</valid>
  1621. </parameter>
  1622. <parameter name="registerOutgoingSignals">
  1623. <type>boolean</type>
  1624. <value>false</value>
  1625. <derived>false</derived>
  1626. <enabled>true</enabled>
  1627. <visible>false</visible>
  1628. <valid>true</valid>
  1629. </parameter>
  1630. <parameter name="setupTime">
  1631. <type>int</type>
  1632. <value>250</value>
  1633. <derived>false</derived>
  1634. <enabled>true</enabled>
  1635. <visible>true</visible>
  1636. <valid>true</valid>
  1637. </parameter>
  1638. <parameter name="timingUnits">
  1639. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  1640. <value>Nanoseconds</value>
  1641. <derived>false</derived>
  1642. <enabled>true</enabled>
  1643. <visible>true</visible>
  1644. <valid>true</valid>
  1645. </parameter>
  1646. <parameter name="transparentBridge">
  1647. <type>boolean</type>
  1648. <value>false</value>
  1649. <derived>false</derived>
  1650. <enabled>true</enabled>
  1651. <visible>false</visible>
  1652. <valid>true</valid>
  1653. </parameter>
  1654. <parameter name="wellBehavedWaitrequest">
  1655. <type>boolean</type>
  1656. <value>false</value>
  1657. <derived>false</derived>
  1658. <enabled>true</enabled>
  1659. <visible>false</visible>
  1660. <valid>true</valid>
  1661. </parameter>
  1662. <parameter name="writeLatency">
  1663. <type>int</type>
  1664. <value>0</value>
  1665. <derived>false</derived>
  1666. <enabled>true</enabled>
  1667. <visible>false</visible>
  1668. <valid>true</valid>
  1669. </parameter>
  1670. <parameter name="writeWaitStates">
  1671. <type>int</type>
  1672. <value>250</value>
  1673. <derived>false</derived>
  1674. <enabled>true</enabled>
  1675. <visible>false</visible>
  1676. <valid>true</valid>
  1677. </parameter>
  1678. <parameter name="writeWaitTime">
  1679. <type>int</type>
  1680. <value>250</value>
  1681. <derived>false</derived>
  1682. <enabled>true</enabled>
  1683. <visible>true</visible>
  1684. <valid>true</valid>
  1685. </parameter>
  1686. <parameter name="deviceFamily">
  1687. <type>java.lang.String</type>
  1688. <value>UNKNOWN</value>
  1689. <derived>false</derived>
  1690. <enabled>true</enabled>
  1691. <visible>true</visible>
  1692. <valid>true</valid>
  1693. </parameter>
  1694. <parameter name="generateLegacySim">
  1695. <type>boolean</type>
  1696. <value>false</value>
  1697. <derived>false</derived>
  1698. <enabled>true</enabled>
  1699. <visible>true</visible>
  1700. <valid>true</valid>
  1701. </parameter>
  1702. <type>avalon</type>
  1703. <isStart>false</isStart>
  1704. <port>
  1705. <name>begintransfer</name>
  1706. <direction>Input</direction>
  1707. <width>1</width>
  1708. <role>begintransfer</role>
  1709. </port>
  1710. <port>
  1711. <name>read</name>
  1712. <direction>Input</direction>
  1713. <width>1</width>
  1714. <role>read</role>
  1715. </port>
  1716. <port>
  1717. <name>write</name>
  1718. <direction>Input</direction>
  1719. <width>1</width>
  1720. <role>write</role>
  1721. </port>
  1722. <port>
  1723. <name>readdata</name>
  1724. <direction>Output</direction>
  1725. <width>8</width>
  1726. <role>readdata</role>
  1727. </port>
  1728. <port>
  1729. <name>writedata</name>
  1730. <direction>Input</direction>
  1731. <width>8</width>
  1732. <role>writedata</role>
  1733. </port>
  1734. <port>
  1735. <name>address</name>
  1736. <direction>Input</direction>
  1737. <width>2</width>
  1738. <role>address</role>
  1739. </port>
  1740. </interface>
  1741. <interface name="external" kind="conduit_end" version="18.1">
  1742. <!-- The connection points exposed by a module instance for the
  1743. particular module parameters. Connection points and their
  1744. parameters are a RESULT of the module parameters. -->
  1745. <parameter name="associatedClock">
  1746. <type>java.lang.String</type>
  1747. <value></value>
  1748. <derived>false</derived>
  1749. <enabled>true</enabled>
  1750. <visible>true</visible>
  1751. <valid>true</valid>
  1752. </parameter>
  1753. <parameter name="associatedReset">
  1754. <type>java.lang.String</type>
  1755. <value></value>
  1756. <derived>false</derived>
  1757. <enabled>true</enabled>
  1758. <visible>true</visible>
  1759. <valid>true</valid>
  1760. </parameter>
  1761. <parameter name="deviceFamily">
  1762. <type>java.lang.String</type>
  1763. <value>UNKNOWN</value>
  1764. <derived>false</derived>
  1765. <enabled>true</enabled>
  1766. <visible>true</visible>
  1767. <valid>true</valid>
  1768. </parameter>
  1769. <parameter name="generateLegacySim">
  1770. <type>boolean</type>
  1771. <value>false</value>
  1772. <derived>false</derived>
  1773. <enabled>true</enabled>
  1774. <visible>true</visible>
  1775. <valid>true</valid>
  1776. </parameter>
  1777. <type>conduit</type>
  1778. <isStart>false</isStart>
  1779. <port>
  1780. <name>LCD_RS</name>
  1781. <direction>Output</direction>
  1782. <width>1</width>
  1783. <role>export</role>
  1784. </port>
  1785. <port>
  1786. <name>LCD_RW</name>
  1787. <direction>Output</direction>
  1788. <width>1</width>
  1789. <role>export</role>
  1790. </port>
  1791. <port>
  1792. <name>LCD_data</name>
  1793. <direction>Bidir</direction>
  1794. <width>8</width>
  1795. <role>export</role>
  1796. </port>
  1797. <port>
  1798. <name>LCD_E</name>
  1799. <direction>Output</direction>
  1800. <width>1</width>
  1801. <role>export</role>
  1802. </port>
  1803. </interface>
  1804. </module>
  1805. <module name="nios2" kind="altera_nios2_gen2" version="18.1" path="nios2">
  1806. <!-- Describes a single module. Module parameters are
  1807. the requested settings for a module instance. -->
  1808. <assignment>
  1809. <name>debug.hostConnection</name>
  1810. <value>type jtag id 70:34|110:135</value>
  1811. </assignment>
  1812. <assignment>
  1813. <name>embeddedsw.CMacro.BIG_ENDIAN</name>
  1814. <value>0</value>
  1815. </assignment>
  1816. <assignment>
  1817. <name>embeddedsw.CMacro.BREAK_ADDR</name>
  1818. <value>0x00080820</value>
  1819. </assignment>
  1820. <assignment>
  1821. <name>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</name>
  1822. <value></value>
  1823. </assignment>
  1824. <assignment>
  1825. <name>embeddedsw.CMacro.CPU_FREQ</name>
  1826. <value>50000000u</value>
  1827. </assignment>
  1828. <assignment>
  1829. <name>embeddedsw.CMacro.CPU_ID_SIZE</name>
  1830. <value>1</value>
  1831. </assignment>
  1832. <assignment>
  1833. <name>embeddedsw.CMacro.CPU_ID_VALUE</name>
  1834. <value>0x00000000</value>
  1835. </assignment>
  1836. <assignment>
  1837. <name>embeddedsw.CMacro.CPU_IMPLEMENTATION</name>
  1838. <value>"tiny"</value>
  1839. </assignment>
  1840. <assignment>
  1841. <name>embeddedsw.CMacro.DATA_ADDR_WIDTH</name>
  1842. <value>20</value>
  1843. </assignment>
  1844. <assignment>
  1845. <name>embeddedsw.CMacro.DCACHE_LINE_SIZE</name>
  1846. <value>0</value>
  1847. </assignment>
  1848. <assignment>
  1849. <name>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</name>
  1850. <value>0</value>
  1851. </assignment>
  1852. <assignment>
  1853. <name>embeddedsw.CMacro.DCACHE_SIZE</name>
  1854. <value>0</value>
  1855. </assignment>
  1856. <assignment>
  1857. <name>embeddedsw.CMacro.EXCEPTION_ADDR</name>
  1858. <value>0x00040020</value>
  1859. </assignment>
  1860. <assignment>
  1861. <name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</name>
  1862. <value>0</value>
  1863. </assignment>
  1864. <assignment>
  1865. <name>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</name>
  1866. <value>0</value>
  1867. </assignment>
  1868. <assignment>
  1869. <name>embeddedsw.CMacro.FLUSHDA_SUPPORTED</name>
  1870. <value></value>
  1871. </assignment>
  1872. <assignment>
  1873. <name>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</name>
  1874. <value>0</value>
  1875. </assignment>
  1876. <assignment>
  1877. <name>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</name>
  1878. <value>0</value>
  1879. </assignment>
  1880. <assignment>
  1881. <name>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</name>
  1882. <value>0</value>
  1883. </assignment>
  1884. <assignment>
  1885. <name>embeddedsw.CMacro.HAS_DEBUG_CORE</name>
  1886. <value>1</value>
  1887. </assignment>
  1888. <assignment>
  1889. <name>embeddedsw.CMacro.HAS_DEBUG_STUB</name>
  1890. <value></value>
  1891. </assignment>
  1892. <assignment>
  1893. <name>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</name>
  1894. <value></value>
  1895. </assignment>
  1896. <assignment>
  1897. <name>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</name>
  1898. <value></value>
  1899. </assignment>
  1900. <assignment>
  1901. <name>embeddedsw.CMacro.ICACHE_LINE_SIZE</name>
  1902. <value>0</value>
  1903. </assignment>
  1904. <assignment>
  1905. <name>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</name>
  1906. <value>0</value>
  1907. </assignment>
  1908. <assignment>
  1909. <name>embeddedsw.CMacro.ICACHE_SIZE</name>
  1910. <value>0</value>
  1911. </assignment>
  1912. <assignment>
  1913. <name>embeddedsw.CMacro.INST_ADDR_WIDTH</name>
  1914. <value>20</value>
  1915. </assignment>
  1916. <assignment>
  1917. <name>embeddedsw.CMacro.OCI_VERSION</name>
  1918. <value>1</value>
  1919. </assignment>
  1920. <assignment>
  1921. <name>embeddedsw.CMacro.RESET_ADDR</name>
  1922. <value>0x00040000</value>
  1923. </assignment>
  1924. <assignment>
  1925. <name>embeddedsw.configuration.DataCacheVictimBufImpl</name>
  1926. <value>ram</value>
  1927. </assignment>
  1928. <assignment>
  1929. <name>embeddedsw.configuration.HDLSimCachesCleared</name>
  1930. <value>1</value>
  1931. </assignment>
  1932. <assignment>
  1933. <name>embeddedsw.configuration.breakOffset</name>
  1934. <value>32</value>
  1935. </assignment>
  1936. <assignment>
  1937. <name>embeddedsw.configuration.breakSlave</name>
  1938. <value>nios2.debug_mem_slave</value>
  1939. </assignment>
  1940. <assignment>
  1941. <name>embeddedsw.configuration.cpuArchitecture</name>
  1942. <value>Nios II</value>
  1943. </assignment>
  1944. <assignment>
  1945. <name>embeddedsw.configuration.exceptionOffset</name>
  1946. <value>32</value>
  1947. </assignment>
  1948. <assignment>
  1949. <name>embeddedsw.configuration.exceptionSlave</name>
  1950. <value>onchip_memory2.s1</value>
  1951. </assignment>
  1952. <assignment>
  1953. <name>embeddedsw.configuration.resetOffset</name>
  1954. <value>0</value>
  1955. </assignment>
  1956. <assignment>
  1957. <name>embeddedsw.configuration.resetSlave</name>
  1958. <value>onchip_memory2.s1</value>
  1959. </assignment>
  1960. <assignment>
  1961. <name>embeddedsw.dts.compatible</name>
  1962. <value>altr,nios2-1.1</value>
  1963. </assignment>
  1964. <assignment>
  1965. <name>embeddedsw.dts.group</name>
  1966. <value>cpu</value>
  1967. </assignment>
  1968. <assignment>
  1969. <name>embeddedsw.dts.name</name>
  1970. <value>nios2</value>
  1971. </assignment>
  1972. <assignment>
  1973. <name>embeddedsw.dts.params.altr,exception-addr</name>
  1974. <value>0x00040020</value>
  1975. </assignment>
  1976. <assignment>
  1977. <name>embeddedsw.dts.params.altr,implementation</name>
  1978. <value>"tiny"</value>
  1979. </assignment>
  1980. <assignment>
  1981. <name>embeddedsw.dts.params.altr,reset-addr</name>
  1982. <value>0x00040000</value>
  1983. </assignment>
  1984. <assignment>
  1985. <name>embeddedsw.dts.params.clock-frequency</name>
  1986. <value>50000000u</value>
  1987. </assignment>
  1988. <assignment>
  1989. <name>embeddedsw.dts.params.dcache-line-size</name>
  1990. <value>0</value>
  1991. </assignment>
  1992. <assignment>
  1993. <name>embeddedsw.dts.params.dcache-size</name>
  1994. <value>0</value>
  1995. </assignment>
  1996. <assignment>
  1997. <name>embeddedsw.dts.params.icache-line-size</name>
  1998. <value>0</value>
  1999. </assignment>
  2000. <assignment>
  2001. <name>embeddedsw.dts.params.icache-size</name>
  2002. <value>0</value>
  2003. </assignment>
  2004. <assignment>
  2005. <name>embeddedsw.dts.vendor</name>
  2006. <value>altr</value>
  2007. </assignment>
  2008. <parameter name="tmr_enabled">
  2009. <type>boolean</type>
  2010. <value>false</value>
  2011. <derived>false</derived>
  2012. <enabled>true</enabled>
  2013. <visible>false</visible>
  2014. <valid>true</valid>
  2015. </parameter>
  2016. <parameter name="setting_disable_tmr_inj">
  2017. <type>boolean</type>
  2018. <value>false</value>
  2019. <derived>false</derived>
  2020. <enabled>true</enabled>
  2021. <visible>false</visible>
  2022. <valid>true</valid>
  2023. </parameter>
  2024. <parameter name="setting_showUnpublishedSettings">
  2025. <type>boolean</type>
  2026. <value>false</value>
  2027. <derived>false</derived>
  2028. <enabled>true</enabled>
  2029. <visible>true</visible>
  2030. <valid>true</valid>
  2031. </parameter>
  2032. <parameter name="setting_showInternalSettings">
  2033. <type>boolean</type>
  2034. <value>false</value>
  2035. <derived>false</derived>
  2036. <enabled>true</enabled>
  2037. <visible>true</visible>
  2038. <valid>true</valid>
  2039. </parameter>
  2040. <parameter name="setting_preciseIllegalMemAccessException">
  2041. <type>boolean</type>
  2042. <value>false</value>
  2043. <derived>false</derived>
  2044. <enabled>false</enabled>
  2045. <visible>true</visible>
  2046. <valid>true</valid>
  2047. </parameter>
  2048. <parameter name="setting_exportPCB">
  2049. <type>boolean</type>
  2050. <value>false</value>
  2051. <derived>false</derived>
  2052. <enabled>true</enabled>
  2053. <visible>false</visible>
  2054. <valid>true</valid>
  2055. </parameter>
  2056. <parameter name="setting_exportdebuginfo">
  2057. <type>boolean</type>
  2058. <value>false</value>
  2059. <derived>false</derived>
  2060. <enabled>true</enabled>
  2061. <visible>false</visible>
  2062. <valid>true</valid>
  2063. </parameter>
  2064. <parameter name="setting_clearXBitsLDNonBypass">
  2065. <type>boolean</type>
  2066. <value>true</value>
  2067. <derived>false</derived>
  2068. <enabled>true</enabled>
  2069. <visible>false</visible>
  2070. <valid>true</valid>
  2071. </parameter>
  2072. <parameter name="setting_bigEndian">
  2073. <type>boolean</type>
  2074. <value>false</value>
  2075. <derived>false</derived>
  2076. <enabled>true</enabled>
  2077. <visible>false</visible>
  2078. <valid>true</valid>
  2079. </parameter>
  2080. <parameter name="setting_export_large_RAMs">
  2081. <type>boolean</type>
  2082. <value>false</value>
  2083. <derived>false</derived>
  2084. <enabled>true</enabled>
  2085. <visible>false</visible>
  2086. <valid>true</valid>
  2087. </parameter>
  2088. <parameter name="setting_asic_enabled">
  2089. <type>boolean</type>
  2090. <value>false</value>
  2091. <derived>false</derived>
  2092. <enabled>true</enabled>
  2093. <visible>false</visible>
  2094. <valid>true</valid>
  2095. </parameter>
  2096. <parameter name="register_file_por">
  2097. <type>boolean</type>
  2098. <value>false</value>
  2099. <derived>false</derived>
  2100. <enabled>true</enabled>
  2101. <visible>false</visible>
  2102. <valid>true</valid>
  2103. </parameter>
  2104. <parameter name="setting_asic_synopsys_translate_on_off">
  2105. <type>boolean</type>
  2106. <value>false</value>
  2107. <derived>false</derived>
  2108. <enabled>true</enabled>
  2109. <visible>false</visible>
  2110. <valid>true</valid>
  2111. </parameter>
  2112. <parameter name="setting_asic_third_party_synthesis">
  2113. <type>boolean</type>
  2114. <value>false</value>
  2115. <derived>false</derived>
  2116. <enabled>true</enabled>
  2117. <visible>false</visible>
  2118. <valid>true</valid>
  2119. </parameter>
  2120. <parameter name="setting_asic_add_scan_mode_input">
  2121. <type>boolean</type>
  2122. <value>false</value>
  2123. <derived>false</derived>
  2124. <enabled>true</enabled>
  2125. <visible>false</visible>
  2126. <valid>true</valid>
  2127. </parameter>
  2128. <parameter name="setting_oci_version">
  2129. <type>int</type>
  2130. <value>1</value>
  2131. <derived>false</derived>
  2132. <enabled>true</enabled>
  2133. <visible>false</visible>
  2134. <valid>true</valid>
  2135. </parameter>
  2136. <parameter name="setting_fast_register_read">
  2137. <type>boolean</type>
  2138. <value>false</value>
  2139. <derived>false</derived>
  2140. <enabled>true</enabled>
  2141. <visible>false</visible>
  2142. <valid>true</valid>
  2143. </parameter>
  2144. <parameter name="setting_exportHostDebugPort">
  2145. <type>boolean</type>
  2146. <value>false</value>
  2147. <derived>false</derived>
  2148. <enabled>true</enabled>
  2149. <visible>false</visible>
  2150. <valid>true</valid>
  2151. </parameter>
  2152. <parameter name="setting_oci_export_jtag_signals">
  2153. <type>boolean</type>
  2154. <value>false</value>
  2155. <derived>false</derived>
  2156. <enabled>true</enabled>
  2157. <visible>false</visible>
  2158. <valid>true</valid>
  2159. </parameter>
  2160. <parameter name="setting_avalonDebugPortPresent">
  2161. <type>boolean</type>
  2162. <value>false</value>
  2163. <derived>false</derived>
  2164. <enabled>true</enabled>
  2165. <visible>false</visible>
  2166. <valid>true</valid>
  2167. </parameter>
  2168. <parameter name="setting_alwaysEncrypt">
  2169. <type>boolean</type>
  2170. <value>true</value>
  2171. <derived>false</derived>
  2172. <enabled>true</enabled>
  2173. <visible>false</visible>
  2174. <valid>true</valid>
  2175. </parameter>
  2176. <parameter name="io_regionbase">
  2177. <type>int</type>
  2178. <value>0</value>
  2179. <derived>false</derived>
  2180. <enabled>false</enabled>
  2181. <visible>true</visible>
  2182. <valid>true</valid>
  2183. </parameter>
  2184. <parameter name="io_regionsize">
  2185. <type>int</type>
  2186. <value>0</value>
  2187. <derived>false</derived>
  2188. <enabled>false</enabled>
  2189. <visible>true</visible>
  2190. <valid>true</valid>
  2191. </parameter>
  2192. <parameter name="setting_support31bitdcachebypass">
  2193. <type>boolean</type>
  2194. <value>true</value>
  2195. <derived>false</derived>
  2196. <enabled>false</enabled>
  2197. <visible>true</visible>
  2198. <valid>true</valid>
  2199. </parameter>
  2200. <parameter name="setting_activateTrace">
  2201. <type>boolean</type>
  2202. <value>false</value>
  2203. <derived>false</derived>
  2204. <enabled>true</enabled>
  2205. <visible>true</visible>
  2206. <valid>true</valid>
  2207. </parameter>
  2208. <parameter name="setting_allow_break_inst">
  2209. <type>boolean</type>
  2210. <value>false</value>
  2211. <derived>false</derived>
  2212. <enabled>true</enabled>
  2213. <visible>false</visible>
  2214. <valid>true</valid>
  2215. </parameter>
  2216. <parameter name="setting_activateTestEndChecker">
  2217. <type>boolean</type>
  2218. <value>false</value>
  2219. <derived>false</derived>
  2220. <enabled>true</enabled>
  2221. <visible>false</visible>
  2222. <valid>true</valid>
  2223. </parameter>
  2224. <parameter name="setting_ecc_sim_test_ports">
  2225. <type>boolean</type>
  2226. <value>false</value>
  2227. <derived>false</derived>
  2228. <enabled>true</enabled>
  2229. <visible>false</visible>
  2230. <valid>true</valid>
  2231. </parameter>
  2232. <parameter name="setting_disableocitrace">
  2233. <type>boolean</type>
  2234. <value>false</value>
  2235. <derived>false</derived>
  2236. <enabled>true</enabled>
  2237. <visible>false</visible>
  2238. <valid>true</valid>
  2239. </parameter>
  2240. <parameter name="setting_activateMonitors">
  2241. <type>boolean</type>
  2242. <value>true</value>
  2243. <derived>false</derived>
  2244. <enabled>true</enabled>
  2245. <visible>false</visible>
  2246. <valid>true</valid>
  2247. </parameter>
  2248. <parameter name="setting_HDLSimCachesCleared">
  2249. <type>boolean</type>
  2250. <value>true</value>
  2251. <derived>false</derived>
  2252. <enabled>true</enabled>
  2253. <visible>false</visible>
  2254. <valid>true</valid>
  2255. </parameter>
  2256. <parameter name="setting_HBreakTest">
  2257. <type>boolean</type>
  2258. <value>false</value>
  2259. <derived>false</derived>
  2260. <enabled>true</enabled>
  2261. <visible>false</visible>
  2262. <valid>true</valid>
  2263. </parameter>
  2264. <parameter name="setting_breakslaveoveride">
  2265. <type>boolean</type>
  2266. <value>false</value>
  2267. <derived>false</derived>
  2268. <enabled>true</enabled>
  2269. <visible>false</visible>
  2270. <valid>true</valid>
  2271. </parameter>
  2272. <parameter name="mpu_useLimit">
  2273. <type>boolean</type>
  2274. <value>false</value>
  2275. <derived>false</derived>
  2276. <enabled>false</enabled>
  2277. <visible>true</visible>
  2278. <valid>true</valid>
  2279. </parameter>
  2280. <parameter name="mpu_enabled">
  2281. <type>boolean</type>
  2282. <value>false</value>
  2283. <derived>false</derived>
  2284. <enabled>false</enabled>
  2285. <visible>true</visible>
  2286. <valid>true</valid>
  2287. </parameter>
  2288. <parameter name="mmu_enabled">
  2289. <type>boolean</type>
  2290. <value>false</value>
  2291. <derived>false</derived>
  2292. <enabled>false</enabled>
  2293. <visible>true</visible>
  2294. <valid>true</valid>
  2295. </parameter>
  2296. <parameter name="mmu_autoAssignTlbPtrSz">
  2297. <type>boolean</type>
  2298. <value>true</value>
  2299. <derived>false</derived>
  2300. <enabled>false</enabled>
  2301. <visible>true</visible>
  2302. <valid>true</valid>
  2303. </parameter>
  2304. <parameter name="cpuReset">
  2305. <type>boolean</type>
  2306. <value>false</value>
  2307. <derived>false</derived>
  2308. <enabled>true</enabled>
  2309. <visible>true</visible>
  2310. <valid>true</valid>
  2311. </parameter>
  2312. <parameter name="resetrequest_enabled">
  2313. <type>boolean</type>
  2314. <value>true</value>
  2315. <derived>false</derived>
  2316. <enabled>true</enabled>
  2317. <visible>true</visible>
  2318. <valid>true</valid>
  2319. </parameter>
  2320. <parameter name="setting_removeRAMinit">
  2321. <type>boolean</type>
  2322. <value>false</value>
  2323. <derived>false</derived>
  2324. <enabled>true</enabled>
  2325. <visible>false</visible>
  2326. <valid>true</valid>
  2327. </parameter>
  2328. <parameter name="setting_tmr_output_disable">
  2329. <type>boolean</type>
  2330. <value>false</value>
  2331. <derived>false</derived>
  2332. <enabled>true</enabled>
  2333. <visible>false</visible>
  2334. <valid>true</valid>
  2335. </parameter>
  2336. <parameter name="setting_shadowRegisterSets">
  2337. <type>int</type>
  2338. <value>0</value>
  2339. <derived>false</derived>
  2340. <enabled>false</enabled>
  2341. <visible>true</visible>
  2342. <valid>true</valid>
  2343. </parameter>
  2344. <parameter name="mpu_numOfInstRegion">
  2345. <type>int</type>
  2346. <value>8</value>
  2347. <derived>false</derived>
  2348. <enabled>false</enabled>
  2349. <visible>true</visible>
  2350. <valid>true</valid>
  2351. </parameter>
  2352. <parameter name="mpu_numOfDataRegion">
  2353. <type>int</type>
  2354. <value>8</value>
  2355. <derived>false</derived>
  2356. <enabled>false</enabled>
  2357. <visible>true</visible>
  2358. <valid>true</valid>
  2359. </parameter>
  2360. <parameter name="mmu_TLBMissExcOffset">
  2361. <type>int</type>
  2362. <value>0</value>
  2363. <derived>false</derived>
  2364. <enabled>false</enabled>
  2365. <visible>true</visible>
  2366. <valid>true</valid>
  2367. </parameter>
  2368. <parameter name="resetOffset">
  2369. <type>int</type>
  2370. <value>0</value>
  2371. <derived>false</derived>
  2372. <enabled>true</enabled>
  2373. <visible>true</visible>
  2374. <valid>true</valid>
  2375. </parameter>
  2376. <parameter name="exceptionOffset">
  2377. <type>int</type>
  2378. <value>32</value>
  2379. <derived>false</derived>
  2380. <enabled>true</enabled>
  2381. <visible>true</visible>
  2382. <valid>true</valid>
  2383. </parameter>
  2384. <parameter name="cpuID">
  2385. <type>int</type>
  2386. <value>0</value>
  2387. <derived>false</derived>
  2388. <enabled>true</enabled>
  2389. <visible>true</visible>
  2390. <valid>true</valid>
  2391. </parameter>
  2392. <parameter name="breakOffset">
  2393. <type>int</type>
  2394. <value>32</value>
  2395. <derived>false</derived>
  2396. <enabled>true</enabled>
  2397. <visible>false</visible>
  2398. <valid>true</valid>
  2399. </parameter>
  2400. <parameter name="userDefinedSettings">
  2401. <type>java.lang.String</type>
  2402. <value></value>
  2403. <derived>false</derived>
  2404. <enabled>true</enabled>
  2405. <visible>false</visible>
  2406. <valid>true</valid>
  2407. </parameter>
  2408. <parameter name="tracefilename">
  2409. <type>java.lang.String</type>
  2410. <value></value>
  2411. <derived>false</derived>
  2412. <enabled>true</enabled>
  2413. <visible>false</visible>
  2414. <valid>true</valid>
  2415. </parameter>
  2416. <parameter name="resetSlave">
  2417. <type>java.lang.String</type>
  2418. <value>onchip_memory2.s1</value>
  2419. <derived>false</derived>
  2420. <enabled>true</enabled>
  2421. <visible>true</visible>
  2422. <valid>true</valid>
  2423. </parameter>
  2424. <parameter name="mmu_TLBMissExcSlave">
  2425. <type>java.lang.String</type>
  2426. <value>None</value>
  2427. <derived>false</derived>
  2428. <enabled>false</enabled>
  2429. <visible>true</visible>
  2430. <valid>true</valid>
  2431. </parameter>
  2432. <parameter name="exceptionSlave">
  2433. <type>java.lang.String</type>
  2434. <value>onchip_memory2.s1</value>
  2435. <derived>false</derived>
  2436. <enabled>true</enabled>
  2437. <visible>true</visible>
  2438. <valid>true</valid>
  2439. </parameter>
  2440. <parameter name="breakSlave">
  2441. <type>java.lang.String</type>
  2442. <value>None</value>
  2443. <derived>false</derived>
  2444. <enabled>true</enabled>
  2445. <visible>false</visible>
  2446. <valid>true</valid>
  2447. </parameter>
  2448. <parameter name="setting_interruptControllerType">
  2449. <type>java.lang.String</type>
  2450. <value>Internal</value>
  2451. <derived>false</derived>
  2452. <enabled>false</enabled>
  2453. <visible>true</visible>
  2454. <valid>true</valid>
  2455. </parameter>
  2456. <parameter name="setting_branchpredictiontype">
  2457. <type>java.lang.String</type>
  2458. <value>Dynamic</value>
  2459. <derived>false</derived>
  2460. <enabled>false</enabled>
  2461. <visible>true</visible>
  2462. <valid>true</valid>
  2463. </parameter>
  2464. <parameter name="setting_bhtPtrSz">
  2465. <type>int</type>
  2466. <value>8</value>
  2467. <derived>false</derived>
  2468. <enabled>false</enabled>
  2469. <visible>true</visible>
  2470. <valid>true</valid>
  2471. </parameter>
  2472. <parameter name="cpuArchRev">
  2473. <type>int</type>
  2474. <value>1</value>
  2475. <derived>false</derived>
  2476. <enabled>true</enabled>
  2477. <visible>false</visible>
  2478. <valid>true</valid>
  2479. </parameter>
  2480. <parameter name="stratix_dspblock_shift_mul">
  2481. <type>boolean</type>
  2482. <value>false</value>
  2483. <derived>true</derived>
  2484. <enabled>true</enabled>
  2485. <visible>false</visible>
  2486. <valid>true</valid>
  2487. </parameter>
  2488. <parameter name="shifterType">
  2489. <type>java.lang.String</type>
  2490. <value>medium_le_shift</value>
  2491. <derived>true</derived>
  2492. <enabled>true</enabled>
  2493. <visible>false</visible>
  2494. <valid>true</valid>
  2495. </parameter>
  2496. <parameter name="multiplierType">
  2497. <type>java.lang.String</type>
  2498. <value>no_mul</value>
  2499. <derived>true</derived>
  2500. <enabled>true</enabled>
  2501. <visible>false</visible>
  2502. <valid>true</valid>
  2503. </parameter>
  2504. <parameter name="mul_shift_choice">
  2505. <type>int</type>
  2506. <value>0</value>
  2507. <derived>false</derived>
  2508. <enabled>false</enabled>
  2509. <visible>true</visible>
  2510. <valid>true</valid>
  2511. </parameter>
  2512. <parameter name="mul_32_impl">
  2513. <type>int</type>
  2514. <value>2</value>
  2515. <derived>false</derived>
  2516. <enabled>false</enabled>
  2517. <visible>true</visible>
  2518. <valid>true</valid>
  2519. </parameter>
  2520. <parameter name="mul_64_impl">
  2521. <type>int</type>
  2522. <value>0</value>
  2523. <derived>false</derived>
  2524. <enabled>false</enabled>
  2525. <visible>true</visible>
  2526. <valid>true</valid>
  2527. </parameter>
  2528. <parameter name="shift_rot_impl">
  2529. <type>int</type>
  2530. <value>1</value>
  2531. <derived>false</derived>
  2532. <enabled>false</enabled>
  2533. <visible>true</visible>
  2534. <valid>true</valid>
  2535. </parameter>
  2536. <parameter name="dividerType">
  2537. <type>java.lang.String</type>
  2538. <value>no_div</value>
  2539. <derived>false</derived>
  2540. <enabled>false</enabled>
  2541. <visible>true</visible>
  2542. <valid>true</valid>
  2543. </parameter>
  2544. <parameter name="mpu_minInstRegionSize">
  2545. <type>int</type>
  2546. <value>12</value>
  2547. <derived>false</derived>
  2548. <enabled>false</enabled>
  2549. <visible>true</visible>
  2550. <valid>true</valid>
  2551. </parameter>
  2552. <parameter name="mpu_minDataRegionSize">
  2553. <type>int</type>
  2554. <value>12</value>
  2555. <derived>false</derived>
  2556. <enabled>false</enabled>
  2557. <visible>true</visible>
  2558. <valid>true</valid>
  2559. </parameter>
  2560. <parameter name="mmu_uitlbNumEntries">
  2561. <type>int</type>
  2562. <value>4</value>
  2563. <derived>false</derived>
  2564. <enabled>false</enabled>
  2565. <visible>true</visible>
  2566. <valid>true</valid>
  2567. </parameter>
  2568. <parameter name="mmu_udtlbNumEntries">
  2569. <type>int</type>
  2570. <value>6</value>
  2571. <derived>false</derived>
  2572. <enabled>false</enabled>
  2573. <visible>true</visible>
  2574. <valid>true</valid>
  2575. </parameter>
  2576. <parameter name="mmu_tlbPtrSz">
  2577. <type>int</type>
  2578. <value>7</value>
  2579. <derived>false</derived>
  2580. <enabled>false</enabled>
  2581. <visible>true</visible>
  2582. <valid>true</valid>
  2583. </parameter>
  2584. <parameter name="mmu_tlbNumWays">
  2585. <type>int</type>
  2586. <value>16</value>
  2587. <derived>false</derived>
  2588. <enabled>false</enabled>
  2589. <visible>true</visible>
  2590. <valid>true</valid>
  2591. </parameter>
  2592. <parameter name="mmu_processIDNumBits">
  2593. <type>int</type>
  2594. <value>8</value>
  2595. <derived>false</derived>
  2596. <enabled>false</enabled>
  2597. <visible>true</visible>
  2598. <valid>true</valid>
  2599. </parameter>
  2600. <parameter name="impl">
  2601. <type>java.lang.String</type>
  2602. <value>Tiny</value>
  2603. <derived>false</derived>
  2604. <enabled>true</enabled>
  2605. <visible>true</visible>
  2606. <valid>true</valid>
  2607. </parameter>
  2608. <parameter name="icache_size">
  2609. <type>int</type>
  2610. <value>4096</value>
  2611. <derived>false</derived>
  2612. <enabled>false</enabled>
  2613. <visible>true</visible>
  2614. <valid>true</valid>
  2615. </parameter>
  2616. <parameter name="fa_cache_line">
  2617. <type>int</type>
  2618. <value>2</value>
  2619. <derived>false</derived>
  2620. <enabled>false</enabled>
  2621. <visible>true</visible>
  2622. <valid>true</valid>
  2623. </parameter>
  2624. <parameter name="fa_cache_linesize">
  2625. <type>int</type>
  2626. <value>0</value>
  2627. <derived>false</derived>
  2628. <enabled>false</enabled>
  2629. <visible>true</visible>
  2630. <valid>true</valid>
  2631. </parameter>
  2632. <parameter name="icache_tagramBlockType">
  2633. <type>java.lang.String</type>
  2634. <value>Automatic</value>
  2635. <derived>false</derived>
  2636. <enabled>true</enabled>
  2637. <visible>false</visible>
  2638. <valid>true</valid>
  2639. </parameter>
  2640. <parameter name="icache_ramBlockType">
  2641. <type>java.lang.String</type>
  2642. <value>Automatic</value>
  2643. <derived>false</derived>
  2644. <enabled>true</enabled>
  2645. <visible>false</visible>
  2646. <valid>true</valid>
  2647. </parameter>
  2648. <parameter name="icache_numTCIM">
  2649. <type>int</type>
  2650. <value>0</value>
  2651. <derived>false</derived>
  2652. <enabled>false</enabled>
  2653. <visible>true</visible>
  2654. <valid>true</valid>
  2655. </parameter>
  2656. <parameter name="icache_burstType">
  2657. <type>java.lang.String</type>
  2658. <value>None</value>
  2659. <derived>false</derived>
  2660. <enabled>false</enabled>
  2661. <visible>true</visible>
  2662. <valid>true</valid>
  2663. </parameter>
  2664. <parameter name="dcache_bursts">
  2665. <type>java.lang.String</type>
  2666. <value>false</value>
  2667. <derived>false</derived>
  2668. <enabled>false</enabled>
  2669. <visible>true</visible>
  2670. <valid>true</valid>
  2671. </parameter>
  2672. <parameter name="dcache_victim_buf_impl">
  2673. <type>java.lang.String</type>
  2674. <value>ram</value>
  2675. <derived>false</derived>
  2676. <enabled>false</enabled>
  2677. <visible>true</visible>
  2678. <valid>true</valid>
  2679. </parameter>
  2680. <parameter name="dcache_size">
  2681. <type>int</type>
  2682. <value>2048</value>
  2683. <derived>false</derived>
  2684. <enabled>false</enabled>
  2685. <visible>true</visible>
  2686. <valid>true</valid>
  2687. </parameter>
  2688. <parameter name="dcache_tagramBlockType">
  2689. <type>java.lang.String</type>
  2690. <value>Automatic</value>
  2691. <derived>false</derived>
  2692. <enabled>true</enabled>
  2693. <visible>false</visible>
  2694. <valid>true</valid>
  2695. </parameter>
  2696. <parameter name="dcache_ramBlockType">
  2697. <type>java.lang.String</type>
  2698. <value>Automatic</value>
  2699. <derived>false</derived>
  2700. <enabled>true</enabled>
  2701. <visible>false</visible>
  2702. <valid>true</valid>
  2703. </parameter>
  2704. <parameter name="dcache_numTCDM">
  2705. <type>int</type>
  2706. <value>0</value>
  2707. <derived>false</derived>
  2708. <enabled>false</enabled>
  2709. <visible>true</visible>
  2710. <valid>true</valid>
  2711. </parameter>
  2712. <parameter name="setting_exportvectors">
  2713. <type>boolean</type>
  2714. <value>false</value>
  2715. <derived>false</derived>
  2716. <enabled>true</enabled>
  2717. <visible>false</visible>
  2718. <valid>true</valid>
  2719. </parameter>
  2720. <parameter name="setting_usedesignware">
  2721. <type>boolean</type>
  2722. <value>false</value>
  2723. <derived>false</derived>
  2724. <enabled>true</enabled>
  2725. <visible>false</visible>
  2726. <valid>true</valid>
  2727. </parameter>
  2728. <parameter name="setting_ecc_present">
  2729. <type>boolean</type>
  2730. <value>false</value>
  2731. <derived>false</derived>
  2732. <enabled>true</enabled>
  2733. <visible>true</visible>
  2734. <valid>true</valid>
  2735. </parameter>
  2736. <parameter name="setting_ic_ecc_present">
  2737. <type>boolean</type>
  2738. <value>true</value>
  2739. <derived>false</derived>
  2740. <enabled>true</enabled>
  2741. <visible>false</visible>
  2742. <valid>true</valid>
  2743. </parameter>
  2744. <parameter name="setting_rf_ecc_present">
  2745. <type>boolean</type>
  2746. <value>true</value>
  2747. <derived>false</derived>
  2748. <enabled>true</enabled>
  2749. <visible>false</visible>
  2750. <valid>true</valid>
  2751. </parameter>
  2752. <parameter name="setting_mmu_ecc_present">
  2753. <type>boolean</type>
  2754. <value>true</value>
  2755. <derived>false</derived>
  2756. <enabled>true</enabled>
  2757. <visible>false</visible>
  2758. <valid>true</valid>
  2759. </parameter>
  2760. <parameter name="setting_dc_ecc_present">
  2761. <type>boolean</type>
  2762. <value>true</value>
  2763. <derived>false</derived>
  2764. <enabled>true</enabled>
  2765. <visible>false</visible>
  2766. <valid>true</valid>
  2767. </parameter>
  2768. <parameter name="setting_itcm_ecc_present">
  2769. <type>boolean</type>
  2770. <value>true</value>
  2771. <derived>false</derived>
  2772. <enabled>true</enabled>
  2773. <visible>false</visible>
  2774. <valid>true</valid>
  2775. </parameter>
  2776. <parameter name="setting_dtcm_ecc_present">
  2777. <type>boolean</type>
  2778. <value>true</value>
  2779. <derived>false</derived>
  2780. <enabled>true</enabled>
  2781. <visible>false</visible>
  2782. <valid>true</valid>
  2783. </parameter>
  2784. <parameter name="regfile_ramBlockType">
  2785. <type>java.lang.String</type>
  2786. <value>Automatic</value>
  2787. <derived>false</derived>
  2788. <enabled>true</enabled>
  2789. <visible>false</visible>
  2790. <valid>true</valid>
  2791. </parameter>
  2792. <parameter name="ocimem_ramBlockType">
  2793. <type>java.lang.String</type>
  2794. <value>Automatic</value>
  2795. <derived>false</derived>
  2796. <enabled>true</enabled>
  2797. <visible>false</visible>
  2798. <valid>true</valid>
  2799. </parameter>
  2800. <parameter name="ocimem_ramInit">
  2801. <type>boolean</type>
  2802. <value>false</value>
  2803. <derived>false</derived>
  2804. <enabled>true</enabled>
  2805. <visible>false</visible>
  2806. <valid>true</valid>
  2807. </parameter>
  2808. <parameter name="mmu_ramBlockType">
  2809. <type>java.lang.String</type>
  2810. <value>Automatic</value>
  2811. <derived>false</derived>
  2812. <enabled>true</enabled>
  2813. <visible>false</visible>
  2814. <valid>true</valid>
  2815. </parameter>
  2816. <parameter name="bht_ramBlockType">
  2817. <type>java.lang.String</type>
  2818. <value>Automatic</value>
  2819. <derived>false</derived>
  2820. <enabled>true</enabled>
  2821. <visible>false</visible>
  2822. <valid>true</valid>
  2823. </parameter>
  2824. <parameter name="cdx_enabled">
  2825. <type>boolean</type>
  2826. <value>false</value>
  2827. <derived>false</derived>
  2828. <enabled>true</enabled>
  2829. <visible>false</visible>
  2830. <valid>true</valid>
  2831. </parameter>
  2832. <parameter name="mpx_enabled">
  2833. <type>boolean</type>
  2834. <value>false</value>
  2835. <derived>false</derived>
  2836. <enabled>true</enabled>
  2837. <visible>false</visible>
  2838. <valid>true</valid>
  2839. </parameter>
  2840. <parameter name="debug_enabled">
  2841. <type>boolean</type>
  2842. <value>true</value>
  2843. <derived>false</derived>
  2844. <enabled>true</enabled>
  2845. <visible>true</visible>
  2846. <valid>true</valid>
  2847. </parameter>
  2848. <parameter name="debug_triggerArming">
  2849. <type>boolean</type>
  2850. <value>true</value>
  2851. <derived>false</derived>
  2852. <enabled>true</enabled>
  2853. <visible>false</visible>
  2854. <valid>true</valid>
  2855. </parameter>
  2856. <parameter name="debug_debugReqSignals">
  2857. <type>boolean</type>
  2858. <value>false</value>
  2859. <derived>false</derived>
  2860. <enabled>false</enabled>
  2861. <visible>true</visible>
  2862. <valid>true</valid>
  2863. </parameter>
  2864. <parameter name="debug_assignJtagInstanceID">
  2865. <type>boolean</type>
  2866. <value>false</value>
  2867. <derived>false</derived>
  2868. <enabled>true</enabled>
  2869. <visible>false</visible>
  2870. <valid>true</valid>
  2871. </parameter>
  2872. <parameter name="debug_jtagInstanceID">
  2873. <type>int</type>
  2874. <value>0</value>
  2875. <derived>false</derived>
  2876. <enabled>true</enabled>
  2877. <visible>false</visible>
  2878. <valid>true</valid>
  2879. </parameter>
  2880. <parameter name="debug_OCIOnchipTrace">
  2881. <type>java.lang.String</type>
  2882. <value>_128</value>
  2883. <derived>false</derived>
  2884. <enabled>false</enabled>
  2885. <visible>true</visible>
  2886. <valid>true</valid>
  2887. </parameter>
  2888. <parameter name="debug_hwbreakpoint">
  2889. <type>int</type>
  2890. <value>0</value>
  2891. <derived>false</derived>
  2892. <enabled>false</enabled>
  2893. <visible>true</visible>
  2894. <valid>true</valid>
  2895. </parameter>
  2896. <parameter name="debug_datatrigger">
  2897. <type>int</type>
  2898. <value>0</value>
  2899. <derived>false</derived>
  2900. <enabled>false</enabled>
  2901. <visible>true</visible>
  2902. <valid>true</valid>
  2903. </parameter>
  2904. <parameter name="debug_traceType">
  2905. <type>java.lang.String</type>
  2906. <value>none</value>
  2907. <derived>false</derived>
  2908. <enabled>false</enabled>
  2909. <visible>true</visible>
  2910. <valid>true</valid>
  2911. </parameter>
  2912. <parameter name="debug_traceStorage">
  2913. <type>java.lang.String</type>
  2914. <value>onchip_trace</value>
  2915. <derived>false</derived>
  2916. <enabled>false</enabled>
  2917. <visible>true</visible>
  2918. <valid>true</valid>
  2919. </parameter>
  2920. <parameter name="master_addr_map">
  2921. <type>boolean</type>
  2922. <value>false</value>
  2923. <derived>false</derived>
  2924. <enabled>true</enabled>
  2925. <visible>false</visible>
  2926. <valid>true</valid>
  2927. </parameter>
  2928. <parameter name="instruction_master_paddr_base">
  2929. <type>int</type>
  2930. <value>0</value>
  2931. <derived>false</derived>
  2932. <enabled>true</enabled>
  2933. <visible>false</visible>
  2934. <valid>true</valid>
  2935. </parameter>
  2936. <parameter name="instruction_master_paddr_size">
  2937. <type>long</type>
  2938. <value>0</value>
  2939. <derived>false</derived>
  2940. <enabled>true</enabled>
  2941. <visible>false</visible>
  2942. <valid>true</valid>
  2943. </parameter>
  2944. <parameter name="flash_instruction_master_paddr_base">
  2945. <type>int</type>
  2946. <value>0</value>
  2947. <derived>false</derived>
  2948. <enabled>true</enabled>
  2949. <visible>false</visible>
  2950. <valid>true</valid>
  2951. </parameter>
  2952. <parameter name="flash_instruction_master_paddr_size">
  2953. <type>long</type>
  2954. <value>0</value>
  2955. <derived>false</derived>
  2956. <enabled>true</enabled>
  2957. <visible>false</visible>
  2958. <valid>true</valid>
  2959. </parameter>
  2960. <parameter name="data_master_paddr_base">
  2961. <type>int</type>
  2962. <value>0</value>
  2963. <derived>false</derived>
  2964. <enabled>true</enabled>
  2965. <visible>false</visible>
  2966. <valid>true</valid>
  2967. </parameter>
  2968. <parameter name="data_master_paddr_size">
  2969. <type>long</type>
  2970. <value>0</value>
  2971. <derived>false</derived>
  2972. <enabled>true</enabled>
  2973. <visible>false</visible>
  2974. <valid>true</valid>
  2975. </parameter>
  2976. <parameter name="tightly_coupled_instruction_master_0_paddr_base">
  2977. <type>int</type>
  2978. <value>0</value>
  2979. <derived>false</derived>
  2980. <enabled>true</enabled>
  2981. <visible>false</visible>
  2982. <valid>true</valid>
  2983. </parameter>
  2984. <parameter name="tightly_coupled_instruction_master_0_paddr_size">
  2985. <type>long</type>
  2986. <value>0</value>
  2987. <derived>false</derived>
  2988. <enabled>true</enabled>
  2989. <visible>false</visible>
  2990. <valid>true</valid>
  2991. </parameter>
  2992. <parameter name="tightly_coupled_instruction_master_1_paddr_base">
  2993. <type>int</type>
  2994. <value>0</value>
  2995. <derived>false</derived>
  2996. <enabled>true</enabled>
  2997. <visible>false</visible>
  2998. <valid>true</valid>
  2999. </parameter>
  3000. <parameter name="tightly_coupled_instruction_master_1_paddr_size">
  3001. <type>long</type>
  3002. <value>0</value>
  3003. <derived>false</derived>
  3004. <enabled>true</enabled>
  3005. <visible>false</visible>
  3006. <valid>true</valid>
  3007. </parameter>
  3008. <parameter name="tightly_coupled_instruction_master_2_paddr_base">
  3009. <type>int</type>
  3010. <value>0</value>
  3011. <derived>false</derived>
  3012. <enabled>true</enabled>
  3013. <visible>false</visible>
  3014. <valid>true</valid>
  3015. </parameter>
  3016. <parameter name="tightly_coupled_instruction_master_2_paddr_size">
  3017. <type>long</type>
  3018. <value>0</value>
  3019. <derived>false</derived>
  3020. <enabled>true</enabled>
  3021. <visible>false</visible>
  3022. <valid>true</valid>
  3023. </parameter>
  3024. <parameter name="tightly_coupled_instruction_master_3_paddr_base">
  3025. <type>int</type>
  3026. <value>0</value>
  3027. <derived>false</derived>
  3028. <enabled>true</enabled>
  3029. <visible>false</visible>
  3030. <valid>true</valid>
  3031. </parameter>
  3032. <parameter name="tightly_coupled_instruction_master_3_paddr_size">
  3033. <type>long</type>
  3034. <value>0</value>
  3035. <derived>false</derived>
  3036. <enabled>true</enabled>
  3037. <visible>false</visible>
  3038. <valid>true</valid>
  3039. </parameter>
  3040. <parameter name="tightly_coupled_data_master_0_paddr_base">
  3041. <type>int</type>
  3042. <value>0</value>
  3043. <derived>false</derived>
  3044. <enabled>true</enabled>
  3045. <visible>false</visible>
  3046. <valid>true</valid>
  3047. </parameter>
  3048. <parameter name="tightly_coupled_data_master_0_paddr_size">
  3049. <type>long</type>
  3050. <value>0</value>
  3051. <derived>false</derived>
  3052. <enabled>true</enabled>
  3053. <visible>false</visible>
  3054. <valid>true</valid>
  3055. </parameter>
  3056. <parameter name="tightly_coupled_data_master_1_paddr_base">
  3057. <type>int</type>
  3058. <value>0</value>
  3059. <derived>false</derived>
  3060. <enabled>true</enabled>
  3061. <visible>false</visible>
  3062. <valid>true</valid>
  3063. </parameter>
  3064. <parameter name="tightly_coupled_data_master_1_paddr_size">
  3065. <type>long</type>
  3066. <value>0</value>
  3067. <derived>false</derived>
  3068. <enabled>true</enabled>
  3069. <visible>false</visible>
  3070. <valid>true</valid>
  3071. </parameter>
  3072. <parameter name="tightly_coupled_data_master_2_paddr_base">
  3073. <type>int</type>
  3074. <value>0</value>
  3075. <derived>false</derived>
  3076. <enabled>true</enabled>
  3077. <visible>false</visible>
  3078. <valid>true</valid>
  3079. </parameter>
  3080. <parameter name="tightly_coupled_data_master_2_paddr_size">
  3081. <type>long</type>
  3082. <value>0</value>
  3083. <derived>false</derived>
  3084. <enabled>true</enabled>
  3085. <visible>false</visible>
  3086. <valid>true</valid>
  3087. </parameter>
  3088. <parameter name="tightly_coupled_data_master_3_paddr_base">
  3089. <type>int</type>
  3090. <value>0</value>
  3091. <derived>false</derived>
  3092. <enabled>true</enabled>
  3093. <visible>false</visible>
  3094. <valid>true</valid>
  3095. </parameter>
  3096. <parameter name="tightly_coupled_data_master_3_paddr_size">
  3097. <type>long</type>
  3098. <value>0</value>
  3099. <derived>false</derived>
  3100. <enabled>true</enabled>
  3101. <visible>false</visible>
  3102. <valid>true</valid>
  3103. </parameter>
  3104. <parameter name="instruction_master_high_performance_paddr_base">
  3105. <type>int</type>
  3106. <value>0</value>
  3107. <derived>false</derived>
  3108. <enabled>true</enabled>
  3109. <visible>false</visible>
  3110. <valid>true</valid>
  3111. </parameter>
  3112. <parameter name="instruction_master_high_performance_paddr_size">
  3113. <type>long</type>
  3114. <value>0</value>
  3115. <derived>false</derived>
  3116. <enabled>true</enabled>
  3117. <visible>false</visible>
  3118. <valid>true</valid>
  3119. </parameter>
  3120. <parameter name="data_master_high_performance_paddr_base">
  3121. <type>int</type>
  3122. <value>0</value>
  3123. <derived>false</derived>
  3124. <enabled>true</enabled>
  3125. <visible>false</visible>
  3126. <valid>true</valid>
  3127. </parameter>
  3128. <parameter name="data_master_high_performance_paddr_size">
  3129. <type>long</type>
  3130. <value>0</value>
  3131. <derived>false</derived>
  3132. <enabled>true</enabled>
  3133. <visible>false</visible>
  3134. <valid>true</valid>
  3135. </parameter>
  3136. <parameter name="resetAbsoluteAddr">
  3137. <type>int</type>
  3138. <value>262144</value>
  3139. <derived>true</derived>
  3140. <enabled>true</enabled>
  3141. <visible>true</visible>
  3142. <valid>true</valid>
  3143. </parameter>
  3144. <parameter name="exceptionAbsoluteAddr">
  3145. <type>int</type>
  3146. <value>262176</value>
  3147. <derived>true</derived>
  3148. <enabled>true</enabled>
  3149. <visible>true</visible>
  3150. <valid>true</valid>
  3151. </parameter>
  3152. <parameter name="breakAbsoluteAddr">
  3153. <type>int</type>
  3154. <value>526368</value>
  3155. <derived>true</derived>
  3156. <enabled>true</enabled>
  3157. <visible>false</visible>
  3158. <valid>true</valid>
  3159. </parameter>
  3160. <parameter name="mmu_TLBMissExcAbsAddr">
  3161. <type>int</type>
  3162. <value>0</value>
  3163. <derived>true</derived>
  3164. <enabled>true</enabled>
  3165. <visible>true</visible>
  3166. <valid>true</valid>
  3167. </parameter>
  3168. <parameter name="dcache_bursts_derived">
  3169. <type>java.lang.String</type>
  3170. <value>false</value>
  3171. <derived>true</derived>
  3172. <enabled>true</enabled>
  3173. <visible>false</visible>
  3174. <valid>true</valid>
  3175. </parameter>
  3176. <parameter name="dcache_size_derived">
  3177. <type>int</type>
  3178. <value>2048</value>
  3179. <derived>true</derived>
  3180. <enabled>true</enabled>
  3181. <visible>false</visible>
  3182. <valid>true</valid>
  3183. </parameter>
  3184. <parameter name="breakSlave_derived">
  3185. <type>java.lang.String</type>
  3186. <value>nios2.debug_mem_slave</value>
  3187. <derived>true</derived>
  3188. <enabled>true</enabled>
  3189. <visible>false</visible>
  3190. <valid>true</valid>
  3191. </parameter>
  3192. <parameter name="dcache_lineSize_derived">
  3193. <type>int</type>
  3194. <value>32</value>
  3195. <derived>true</derived>
  3196. <enabled>true</enabled>
  3197. <visible>false</visible>
  3198. <valid>true</valid>
  3199. </parameter>
  3200. <parameter name="setting_ioregionBypassDCache">
  3201. <type>boolean</type>
  3202. <value>false</value>
  3203. <derived>true</derived>
  3204. <enabled>true</enabled>
  3205. <visible>false</visible>
  3206. <valid>true</valid>
  3207. </parameter>
  3208. <parameter name="setting_bit31BypassDCache">
  3209. <type>boolean</type>
  3210. <value>false</value>
  3211. <derived>true</derived>
  3212. <enabled>true</enabled>
  3213. <visible>false</visible>
  3214. <valid>true</valid>
  3215. </parameter>
  3216. <parameter name="translate_on">
  3217. <type>java.lang.String</type>
  3218. <value> "synthesis translate_on" </value>
  3219. <derived>true</derived>
  3220. <enabled>true</enabled>
  3221. <visible>false</visible>
  3222. <valid>true</valid>
  3223. </parameter>
  3224. <parameter name="translate_off">
  3225. <type>java.lang.String</type>
  3226. <value> "synthesis translate_off" </value>
  3227. <derived>true</derived>
  3228. <enabled>true</enabled>
  3229. <visible>false</visible>
  3230. <valid>true</valid>
  3231. </parameter>
  3232. <parameter name="debug_onchiptrace">
  3233. <type>boolean</type>
  3234. <value>false</value>
  3235. <derived>true</derived>
  3236. <enabled>true</enabled>
  3237. <visible>false</visible>
  3238. <valid>true</valid>
  3239. </parameter>
  3240. <parameter name="debug_offchiptrace">
  3241. <type>boolean</type>
  3242. <value>false</value>
  3243. <derived>true</derived>
  3244. <enabled>true</enabled>
  3245. <visible>false</visible>
  3246. <valid>true</valid>
  3247. </parameter>
  3248. <parameter name="debug_insttrace">
  3249. <type>boolean</type>
  3250. <value>false</value>
  3251. <derived>true</derived>
  3252. <enabled>true</enabled>
  3253. <visible>false</visible>
  3254. <valid>true</valid>
  3255. </parameter>
  3256. <parameter name="debug_datatrace">
  3257. <type>boolean</type>
  3258. <value>false</value>
  3259. <derived>true</derived>
  3260. <enabled>true</enabled>
  3261. <visible>false</visible>
  3262. <valid>true</valid>
  3263. </parameter>
  3264. <parameter name="instAddrWidth">
  3265. <type>int</type>
  3266. <value>20</value>
  3267. <derived>false</derived>
  3268. <enabled>true</enabled>
  3269. <visible>false</visible>
  3270. <valid>true</valid>
  3271. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3272. <sysinfo_arg>instruction_master</sysinfo_arg>
  3273. </parameter>
  3274. <parameter name="faAddrWidth">
  3275. <type>int</type>
  3276. <value>1</value>
  3277. <derived>false</derived>
  3278. <enabled>true</enabled>
  3279. <visible>false</visible>
  3280. <valid>true</valid>
  3281. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3282. <sysinfo_arg>flash_instruction_master</sysinfo_arg>
  3283. </parameter>
  3284. <parameter name="dataAddrWidth">
  3285. <type>int</type>
  3286. <value>20</value>
  3287. <derived>false</derived>
  3288. <enabled>true</enabled>
  3289. <visible>false</visible>
  3290. <valid>true</valid>
  3291. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3292. <sysinfo_arg>data_master</sysinfo_arg>
  3293. </parameter>
  3294. <parameter name="tightlyCoupledDataMaster0AddrWidth">
  3295. <type>int</type>
  3296. <value>1</value>
  3297. <derived>false</derived>
  3298. <enabled>true</enabled>
  3299. <visible>false</visible>
  3300. <valid>true</valid>
  3301. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3302. <sysinfo_arg>tightly_coupled_data_master_0</sysinfo_arg>
  3303. </parameter>
  3304. <parameter name="tightlyCoupledDataMaster1AddrWidth">
  3305. <type>int</type>
  3306. <value>1</value>
  3307. <derived>false</derived>
  3308. <enabled>true</enabled>
  3309. <visible>false</visible>
  3310. <valid>true</valid>
  3311. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3312. <sysinfo_arg>tightly_coupled_data_master_1</sysinfo_arg>
  3313. </parameter>
  3314. <parameter name="tightlyCoupledDataMaster2AddrWidth">
  3315. <type>int</type>
  3316. <value>1</value>
  3317. <derived>false</derived>
  3318. <enabled>true</enabled>
  3319. <visible>false</visible>
  3320. <valid>true</valid>
  3321. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3322. <sysinfo_arg>tightly_coupled_data_master_2</sysinfo_arg>
  3323. </parameter>
  3324. <parameter name="tightlyCoupledDataMaster3AddrWidth">
  3325. <type>int</type>
  3326. <value>1</value>
  3327. <derived>false</derived>
  3328. <enabled>true</enabled>
  3329. <visible>false</visible>
  3330. <valid>true</valid>
  3331. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3332. <sysinfo_arg>tightly_coupled_data_master_3</sysinfo_arg>
  3333. </parameter>
  3334. <parameter name="tightlyCoupledInstructionMaster0AddrWidth">
  3335. <type>int</type>
  3336. <value>1</value>
  3337. <derived>false</derived>
  3338. <enabled>true</enabled>
  3339. <visible>false</visible>
  3340. <valid>true</valid>
  3341. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3342. <sysinfo_arg>tightly_coupled_instruction_master_0</sysinfo_arg>
  3343. </parameter>
  3344. <parameter name="tightlyCoupledInstructionMaster1AddrWidth">
  3345. <type>int</type>
  3346. <value>1</value>
  3347. <derived>false</derived>
  3348. <enabled>true</enabled>
  3349. <visible>false</visible>
  3350. <valid>true</valid>
  3351. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3352. <sysinfo_arg>tightly_coupled_instruction_master_1</sysinfo_arg>
  3353. </parameter>
  3354. <parameter name="tightlyCoupledInstructionMaster2AddrWidth">
  3355. <type>int</type>
  3356. <value>1</value>
  3357. <derived>false</derived>
  3358. <enabled>true</enabled>
  3359. <visible>false</visible>
  3360. <valid>true</valid>
  3361. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3362. <sysinfo_arg>tightly_coupled_instruction_master_2</sysinfo_arg>
  3363. </parameter>
  3364. <parameter name="tightlyCoupledInstructionMaster3AddrWidth">
  3365. <type>int</type>
  3366. <value>1</value>
  3367. <derived>false</derived>
  3368. <enabled>true</enabled>
  3369. <visible>false</visible>
  3370. <valid>true</valid>
  3371. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3372. <sysinfo_arg>tightly_coupled_instruction_master_3</sysinfo_arg>
  3373. </parameter>
  3374. <parameter name="dataMasterHighPerformanceAddrWidth">
  3375. <type>int</type>
  3376. <value>1</value>
  3377. <derived>false</derived>
  3378. <enabled>true</enabled>
  3379. <visible>false</visible>
  3380. <valid>true</valid>
  3381. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3382. <sysinfo_arg>data_master_high_performance</sysinfo_arg>
  3383. </parameter>
  3384. <parameter name="instructionMasterHighPerformanceAddrWidth">
  3385. <type>int</type>
  3386. <value>1</value>
  3387. <derived>false</derived>
  3388. <enabled>true</enabled>
  3389. <visible>false</visible>
  3390. <valid>true</valid>
  3391. <sysinfo_type>ADDRESS_WIDTH</sysinfo_type>
  3392. <sysinfo_arg>instruction_master_high_performance</sysinfo_arg>
  3393. </parameter>
  3394. <parameter name="instSlaveMapParam">
  3395. <type>java.lang.String</type>
  3396. <value><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_BUTTON.s1' start='0x81040' end='0x81050' type='altera_avalon_pio.s1' /><slave name='pio_MATRIX.s1' start='0x81050' end='0x81060' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x81060' end='0x81070' type='altera_avalon_pio.s1' /><slave name='lcd_16207.control_slave' start='0x81070' end='0x81080' type='altera_avalon_lcd_16207.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81088' end='0x81090' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></value>
  3397. <derived>false</derived>
  3398. <enabled>true</enabled>
  3399. <visible>false</visible>
  3400. <valid>true</valid>
  3401. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3402. <sysinfo_arg>instruction_master</sysinfo_arg>
  3403. </parameter>
  3404. <parameter name="faSlaveMapParam">
  3405. <type>java.lang.String</type>
  3406. <value></value>
  3407. <derived>false</derived>
  3408. <enabled>true</enabled>
  3409. <visible>false</visible>
  3410. <valid>true</valid>
  3411. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3412. <sysinfo_arg>flash_instruction_master</sysinfo_arg>
  3413. </parameter>
  3414. <parameter name="dataSlaveMapParam">
  3415. <type>java.lang.String</type>
  3416. <value><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_BUTTON.s1' start='0x81040' end='0x81050' type='altera_avalon_pio.s1' /><slave name='pio_MATRIX.s1' start='0x81050' end='0x81060' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x81060' end='0x81070' type='altera_avalon_pio.s1' /><slave name='lcd_16207.control_slave' start='0x81070' end='0x81080' type='altera_avalon_lcd_16207.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81088' end='0x81090' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></value>
  3417. <derived>false</derived>
  3418. <enabled>true</enabled>
  3419. <visible>false</visible>
  3420. <valid>true</valid>
  3421. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3422. <sysinfo_arg>data_master</sysinfo_arg>
  3423. </parameter>
  3424. <parameter name="tightlyCoupledDataMaster0MapParam">
  3425. <type>java.lang.String</type>
  3426. <value></value>
  3427. <derived>false</derived>
  3428. <enabled>true</enabled>
  3429. <visible>false</visible>
  3430. <valid>true</valid>
  3431. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3432. <sysinfo_arg>tightly_coupled_data_master_0</sysinfo_arg>
  3433. </parameter>
  3434. <parameter name="tightlyCoupledDataMaster1MapParam">
  3435. <type>java.lang.String</type>
  3436. <value></value>
  3437. <derived>false</derived>
  3438. <enabled>true</enabled>
  3439. <visible>false</visible>
  3440. <valid>true</valid>
  3441. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3442. <sysinfo_arg>tightly_coupled_data_master_1</sysinfo_arg>
  3443. </parameter>
  3444. <parameter name="tightlyCoupledDataMaster2MapParam">
  3445. <type>java.lang.String</type>
  3446. <value></value>
  3447. <derived>false</derived>
  3448. <enabled>true</enabled>
  3449. <visible>false</visible>
  3450. <valid>true</valid>
  3451. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3452. <sysinfo_arg>tightly_coupled_data_master_2</sysinfo_arg>
  3453. </parameter>
  3454. <parameter name="tightlyCoupledDataMaster3MapParam">
  3455. <type>java.lang.String</type>
  3456. <value></value>
  3457. <derived>false</derived>
  3458. <enabled>true</enabled>
  3459. <visible>false</visible>
  3460. <valid>true</valid>
  3461. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3462. <sysinfo_arg>tightly_coupled_data_master_3</sysinfo_arg>
  3463. </parameter>
  3464. <parameter name="tightlyCoupledInstructionMaster0MapParam">
  3465. <type>java.lang.String</type>
  3466. <value></value>
  3467. <derived>false</derived>
  3468. <enabled>true</enabled>
  3469. <visible>false</visible>
  3470. <valid>true</valid>
  3471. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3472. <sysinfo_arg>tightly_coupled_instruction_master_0</sysinfo_arg>
  3473. </parameter>
  3474. <parameter name="tightlyCoupledInstructionMaster1MapParam">
  3475. <type>java.lang.String</type>
  3476. <value></value>
  3477. <derived>false</derived>
  3478. <enabled>true</enabled>
  3479. <visible>false</visible>
  3480. <valid>true</valid>
  3481. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3482. <sysinfo_arg>tightly_coupled_instruction_master_1</sysinfo_arg>
  3483. </parameter>
  3484. <parameter name="tightlyCoupledInstructionMaster2MapParam">
  3485. <type>java.lang.String</type>
  3486. <value></value>
  3487. <derived>false</derived>
  3488. <enabled>true</enabled>
  3489. <visible>false</visible>
  3490. <valid>true</valid>
  3491. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3492. <sysinfo_arg>tightly_coupled_instruction_master_2</sysinfo_arg>
  3493. </parameter>
  3494. <parameter name="tightlyCoupledInstructionMaster3MapParam">
  3495. <type>java.lang.String</type>
  3496. <value></value>
  3497. <derived>false</derived>
  3498. <enabled>true</enabled>
  3499. <visible>false</visible>
  3500. <valid>true</valid>
  3501. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3502. <sysinfo_arg>tightly_coupled_instruction_master_3</sysinfo_arg>
  3503. </parameter>
  3504. <parameter name="dataMasterHighPerformanceMapParam">
  3505. <type>java.lang.String</type>
  3506. <value></value>
  3507. <derived>false</derived>
  3508. <enabled>true</enabled>
  3509. <visible>false</visible>
  3510. <valid>true</valid>
  3511. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3512. <sysinfo_arg>data_master_high_performance</sysinfo_arg>
  3513. </parameter>
  3514. <parameter name="instructionMasterHighPerformanceMapParam">
  3515. <type>java.lang.String</type>
  3516. <value></value>
  3517. <derived>false</derived>
  3518. <enabled>true</enabled>
  3519. <visible>false</visible>
  3520. <valid>true</valid>
  3521. <sysinfo_type>ADDRESS_MAP</sysinfo_type>
  3522. <sysinfo_arg>instruction_master_high_performance</sysinfo_arg>
  3523. </parameter>
  3524. <parameter name="clockFrequency">
  3525. <type>long</type>
  3526. <value>50000000</value>
  3527. <derived>false</derived>
  3528. <enabled>true</enabled>
  3529. <visible>false</visible>
  3530. <valid>true</valid>
  3531. <sysinfo_type>CLOCK_RATE</sysinfo_type>
  3532. <sysinfo_arg>clk</sysinfo_arg>
  3533. </parameter>
  3534. <parameter name="deviceFamilyName">
  3535. <type>java.lang.String</type>
  3536. <value>CYCLONEIVE</value>
  3537. <derived>false</derived>
  3538. <enabled>true</enabled>
  3539. <visible>false</visible>
  3540. <valid>true</valid>
  3541. <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  3542. </parameter>
  3543. <parameter name="internalIrqMaskSystemInfo">
  3544. <type>long</type>
  3545. <value>1</value>
  3546. <derived>false</derived>
  3547. <enabled>true</enabled>
  3548. <visible>false</visible>
  3549. <valid>true</valid>
  3550. <sysinfo_type>INTERRUPTS_USED</sysinfo_type>
  3551. <sysinfo_arg>irq</sysinfo_arg>
  3552. </parameter>
  3553. <parameter name="customInstSlavesSystemInfo">
  3554. <type>java.lang.String</type>
  3555. <value><![CDATA[<info><slave name="nios_custom_instr_floating_point_0" baseAddress="252" addressSpan="4" clockCycleType="VARIABLE" /></info>]]></value>
  3556. <derived>false</derived>
  3557. <enabled>true</enabled>
  3558. <visible>false</visible>
  3559. <valid>true</valid>
  3560. <sysinfo_type>CUSTOM_INSTRUCTION_SLAVES</sysinfo_type>
  3561. <sysinfo_arg>custom_instruction_master</sysinfo_arg>
  3562. </parameter>
  3563. <parameter name="customInstSlavesSystemInfo_nios_a">
  3564. <type>java.lang.String</type>
  3565. <value><![CDATA[<info/>]]></value>
  3566. <derived>false</derived>
  3567. <enabled>true</enabled>
  3568. <visible>false</visible>
  3569. <valid>true</valid>
  3570. <sysinfo_type>CUSTOM_INSTRUCTION_SLAVES</sysinfo_type>
  3571. <sysinfo_arg>custom_instruction_master_a</sysinfo_arg>
  3572. </parameter>
  3573. <parameter name="customInstSlavesSystemInfo_nios_b">
  3574. <type>java.lang.String</type>
  3575. <value><![CDATA[<info/>]]></value>
  3576. <derived>false</derived>
  3577. <enabled>true</enabled>
  3578. <visible>false</visible>
  3579. <valid>true</valid>
  3580. <sysinfo_type>CUSTOM_INSTRUCTION_SLAVES</sysinfo_type>
  3581. <sysinfo_arg>custom_instruction_master_b</sysinfo_arg>
  3582. </parameter>
  3583. <parameter name="customInstSlavesSystemInfo_nios_c">
  3584. <type>java.lang.String</type>
  3585. <value><![CDATA[<info/>]]></value>
  3586. <derived>false</derived>
  3587. <enabled>true</enabled>
  3588. <visible>false</visible>
  3589. <valid>true</valid>
  3590. <sysinfo_type>CUSTOM_INSTRUCTION_SLAVES</sysinfo_type>
  3591. <sysinfo_arg>custom_instruction_master_c</sysinfo_arg>
  3592. </parameter>
  3593. <parameter name="deviceFeaturesSystemInfo">
  3594. <type>java.lang.String</type>
  3595. <value>ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</value>
  3596. <derived>false</derived>
  3597. <enabled>true</enabled>
  3598. <visible>false</visible>
  3599. <valid>true</valid>
  3600. <sysinfo_type>DEVICE_FEATURES</sysinfo_type>
  3601. </parameter>
  3602. <parameter name="AUTO_DEVICE">
  3603. <type>java.lang.String</type>
  3604. <value>EP4CE115F29C7</value>
  3605. <derived>false</derived>
  3606. <enabled>true</enabled>
  3607. <visible>false</visible>
  3608. <valid>true</valid>
  3609. <sysinfo_type>DEVICE</sysinfo_type>
  3610. </parameter>
  3611. <parameter name="AUTO_DEVICE_SPEEDGRADE">
  3612. <type>java.lang.String</type>
  3613. <value>7</value>
  3614. <derived>false</derived>
  3615. <enabled>true</enabled>
  3616. <visible>false</visible>
  3617. <valid>true</valid>
  3618. <sysinfo_type>DEVICE_SPEEDGRADE</sysinfo_type>
  3619. </parameter>
  3620. <parameter name="AUTO_CLK_CLOCK_DOMAIN">
  3621. <type>java.lang.Integer</type>
  3622. <value>1</value>
  3623. <derived>false</derived>
  3624. <enabled>true</enabled>
  3625. <visible>false</visible>
  3626. <valid>true</valid>
  3627. <sysinfo_type>CLOCK_DOMAIN</sysinfo_type>
  3628. <sysinfo_arg>clk</sysinfo_arg>
  3629. </parameter>
  3630. <parameter name="AUTO_CLK_RESET_DOMAIN">
  3631. <type>java.lang.Integer</type>
  3632. <value>1</value>
  3633. <derived>false</derived>
  3634. <enabled>true</enabled>
  3635. <visible>false</visible>
  3636. <valid>true</valid>
  3637. <sysinfo_type>RESET_DOMAIN</sysinfo_type>
  3638. <sysinfo_arg>clk</sysinfo_arg>
  3639. </parameter>
  3640. <parameter name="deviceFamily">
  3641. <type>java.lang.String</type>
  3642. <value>UNKNOWN</value>
  3643. <derived>false</derived>
  3644. <enabled>true</enabled>
  3645. <visible>true</visible>
  3646. <valid>true</valid>
  3647. </parameter>
  3648. <parameter name="generateLegacySim">
  3649. <type>boolean</type>
  3650. <value>false</value>
  3651. <derived>false</derived>
  3652. <enabled>true</enabled>
  3653. <visible>true</visible>
  3654. <valid>true</valid>
  3655. </parameter>
  3656. <interface name="clk" kind="clock_sink" version="18.1">
  3657. <!-- The connection points exposed by a module instance for the
  3658. particular module parameters. Connection points and their
  3659. parameters are a RESULT of the module parameters. -->
  3660. <parameter name="externallyDriven">
  3661. <type>boolean</type>
  3662. <value>false</value>
  3663. <derived>false</derived>
  3664. <enabled>true</enabled>
  3665. <visible>false</visible>
  3666. <valid>true</valid>
  3667. </parameter>
  3668. <parameter name="ptfSchematicName">
  3669. <type>java.lang.String</type>
  3670. <value></value>
  3671. <derived>false</derived>
  3672. <enabled>true</enabled>
  3673. <visible>false</visible>
  3674. <valid>true</valid>
  3675. </parameter>
  3676. <parameter name="deviceFamily">
  3677. <type>java.lang.String</type>
  3678. <value>UNKNOWN</value>
  3679. <derived>false</derived>
  3680. <enabled>true</enabled>
  3681. <visible>true</visible>
  3682. <valid>true</valid>
  3683. </parameter>
  3684. <parameter name="generateLegacySim">
  3685. <type>boolean</type>
  3686. <value>false</value>
  3687. <derived>false</derived>
  3688. <enabled>true</enabled>
  3689. <visible>true</visible>
  3690. <valid>true</valid>
  3691. </parameter>
  3692. <parameter name="clockRateKnown">
  3693. <type>java.lang.Boolean</type>
  3694. <value>true</value>
  3695. <derived>true</derived>
  3696. <enabled>true</enabled>
  3697. <visible>false</visible>
  3698. <valid>true</valid>
  3699. </parameter>
  3700. <parameter name="clockRate">
  3701. <type>java.lang.Long</type>
  3702. <value>50000000</value>
  3703. <derived>true</derived>
  3704. <enabled>true</enabled>
  3705. <visible>false</visible>
  3706. <valid>true</valid>
  3707. </parameter>
  3708. <type>clock</type>
  3709. <isStart>false</isStart>
  3710. <port>
  3711. <name>clk</name>
  3712. <direction>Input</direction>
  3713. <width>1</width>
  3714. <role>clk</role>
  3715. </port>
  3716. </interface>
  3717. <interface name="reset" kind="reset_sink" version="18.1">
  3718. <!-- The connection points exposed by a module instance for the
  3719. particular module parameters. Connection points and their
  3720. parameters are a RESULT of the module parameters. -->
  3721. <parameter name="associatedClock">
  3722. <type>java.lang.String</type>
  3723. <value>clk</value>
  3724. <derived>false</derived>
  3725. <enabled>true</enabled>
  3726. <visible>true</visible>
  3727. <valid>true</valid>
  3728. </parameter>
  3729. <parameter name="synchronousEdges">
  3730. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  3731. <value>DEASSERT</value>
  3732. <derived>false</derived>
  3733. <enabled>true</enabled>
  3734. <visible>true</visible>
  3735. <valid>true</valid>
  3736. </parameter>
  3737. <parameter name="deviceFamily">
  3738. <type>java.lang.String</type>
  3739. <value>UNKNOWN</value>
  3740. <derived>false</derived>
  3741. <enabled>true</enabled>
  3742. <visible>true</visible>
  3743. <valid>true</valid>
  3744. </parameter>
  3745. <parameter name="generateLegacySim">
  3746. <type>boolean</type>
  3747. <value>false</value>
  3748. <derived>false</derived>
  3749. <enabled>true</enabled>
  3750. <visible>true</visible>
  3751. <valid>true</valid>
  3752. </parameter>
  3753. <type>reset</type>
  3754. <isStart>false</isStart>
  3755. <port>
  3756. <name>reset_n</name>
  3757. <direction>Input</direction>
  3758. <width>1</width>
  3759. <role>reset_n</role>
  3760. </port>
  3761. <port>
  3762. <name>reset_req</name>
  3763. <direction>Input</direction>
  3764. <width>1</width>
  3765. <role>reset_req</role>
  3766. </port>
  3767. </interface>
  3768. <interface name="data_master" kind="avalon_master" version="18.1">
  3769. <!-- The connection points exposed by a module instance for the
  3770. particular module parameters. Connection points and their
  3771. parameters are a RESULT of the module parameters. -->
  3772. <assignment>
  3773. <name>debug.providesServices</name>
  3774. <value>master</value>
  3775. </assignment>
  3776. <parameter name="adaptsTo">
  3777. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  3778. <value></value>
  3779. <derived>false</derived>
  3780. <enabled>true</enabled>
  3781. <visible>false</visible>
  3782. <valid>true</valid>
  3783. </parameter>
  3784. <parameter name="addressGroup">
  3785. <type>int</type>
  3786. <value>1</value>
  3787. <derived>false</derived>
  3788. <enabled>true</enabled>
  3789. <visible>false</visible>
  3790. <valid>true</valid>
  3791. </parameter>
  3792. <parameter name="addressUnits">
  3793. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  3794. <value>SYMBOLS</value>
  3795. <derived>false</derived>
  3796. <enabled>true</enabled>
  3797. <visible>true</visible>
  3798. <valid>true</valid>
  3799. </parameter>
  3800. <parameter name="alwaysBurstMaxBurst">
  3801. <type>boolean</type>
  3802. <value>false</value>
  3803. <derived>false</derived>
  3804. <enabled>true</enabled>
  3805. <visible>false</visible>
  3806. <valid>true</valid>
  3807. </parameter>
  3808. <parameter name="associatedClock">
  3809. <type>java.lang.String</type>
  3810. <value>clk</value>
  3811. <derived>false</derived>
  3812. <enabled>true</enabled>
  3813. <visible>true</visible>
  3814. <valid>true</valid>
  3815. </parameter>
  3816. <parameter name="associatedReset">
  3817. <type>java.lang.String</type>
  3818. <value>reset</value>
  3819. <derived>false</derived>
  3820. <enabled>true</enabled>
  3821. <visible>true</visible>
  3822. <valid>true</valid>
  3823. </parameter>
  3824. <parameter name="bitsPerSymbol">
  3825. <type>int</type>
  3826. <value>8</value>
  3827. <derived>false</derived>
  3828. <enabled>true</enabled>
  3829. <visible>false</visible>
  3830. <valid>true</valid>
  3831. </parameter>
  3832. <parameter name="burstOnBurstBoundariesOnly">
  3833. <type>boolean</type>
  3834. <value>true</value>
  3835. <derived>false</derived>
  3836. <enabled>true</enabled>
  3837. <visible>true</visible>
  3838. <valid>true</valid>
  3839. </parameter>
  3840. <parameter name="burstcountUnits">
  3841. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  3842. <value>WORDS</value>
  3843. <derived>false</derived>
  3844. <enabled>true</enabled>
  3845. <visible>false</visible>
  3846. <valid>true</valid>
  3847. </parameter>
  3848. <parameter name="constantBurstBehavior">
  3849. <type>boolean</type>
  3850. <value>false</value>
  3851. <derived>false</derived>
  3852. <enabled>true</enabled>
  3853. <visible>false</visible>
  3854. <valid>true</valid>
  3855. </parameter>
  3856. <parameter name="dBSBigEndian">
  3857. <type>boolean</type>
  3858. <value>false</value>
  3859. <derived>false</derived>
  3860. <enabled>true</enabled>
  3861. <visible>false</visible>
  3862. <valid>true</valid>
  3863. </parameter>
  3864. <parameter name="doStreamReads">
  3865. <type>boolean</type>
  3866. <value>false</value>
  3867. <derived>false</derived>
  3868. <enabled>true</enabled>
  3869. <visible>true</visible>
  3870. <valid>true</valid>
  3871. </parameter>
  3872. <parameter name="doStreamWrites">
  3873. <type>boolean</type>
  3874. <value>false</value>
  3875. <derived>false</derived>
  3876. <enabled>true</enabled>
  3877. <visible>true</visible>
  3878. <valid>true</valid>
  3879. </parameter>
  3880. <parameter name="holdTime">
  3881. <type>int</type>
  3882. <value>0</value>
  3883. <derived>false</derived>
  3884. <enabled>true</enabled>
  3885. <visible>false</visible>
  3886. <valid>true</valid>
  3887. </parameter>
  3888. <parameter name="interleaveBursts">
  3889. <type>boolean</type>
  3890. <value>false</value>
  3891. <derived>false</derived>
  3892. <enabled>true</enabled>
  3893. <visible>false</visible>
  3894. <valid>true</valid>
  3895. </parameter>
  3896. <parameter name="isAsynchronous">
  3897. <type>boolean</type>
  3898. <value>false</value>
  3899. <derived>false</derived>
  3900. <enabled>true</enabled>
  3901. <visible>false</visible>
  3902. <valid>true</valid>
  3903. </parameter>
  3904. <parameter name="isBigEndian">
  3905. <type>boolean</type>
  3906. <value>false</value>
  3907. <derived>false</derived>
  3908. <enabled>true</enabled>
  3909. <visible>false</visible>
  3910. <valid>true</valid>
  3911. </parameter>
  3912. <parameter name="isReadable">
  3913. <type>boolean</type>
  3914. <value>false</value>
  3915. <derived>false</derived>
  3916. <enabled>true</enabled>
  3917. <visible>false</visible>
  3918. <valid>true</valid>
  3919. </parameter>
  3920. <parameter name="isWriteable">
  3921. <type>boolean</type>
  3922. <value>false</value>
  3923. <derived>false</derived>
  3924. <enabled>true</enabled>
  3925. <visible>false</visible>
  3926. <valid>true</valid>
  3927. </parameter>
  3928. <parameter name="linewrapBursts">
  3929. <type>boolean</type>
  3930. <value>false</value>
  3931. <derived>false</derived>
  3932. <enabled>true</enabled>
  3933. <visible>true</visible>
  3934. <valid>true</valid>
  3935. </parameter>
  3936. <parameter name="maxAddressWidth">
  3937. <type>int</type>
  3938. <value>32</value>
  3939. <derived>false</derived>
  3940. <enabled>true</enabled>
  3941. <visible>false</visible>
  3942. <valid>true</valid>
  3943. </parameter>
  3944. <parameter name="maximumPendingReadTransactions">
  3945. <type>int</type>
  3946. <value>0</value>
  3947. <derived>false</derived>
  3948. <enabled>true</enabled>
  3949. <visible>false</visible>
  3950. <valid>true</valid>
  3951. </parameter>
  3952. <parameter name="maximumPendingWriteTransactions">
  3953. <type>int</type>
  3954. <value>0</value>
  3955. <derived>false</derived>
  3956. <enabled>true</enabled>
  3957. <visible>false</visible>
  3958. <valid>true</valid>
  3959. </parameter>
  3960. <parameter name="readLatency">
  3961. <type>int</type>
  3962. <value>0</value>
  3963. <derived>false</derived>
  3964. <enabled>true</enabled>
  3965. <visible>true</visible>
  3966. <valid>true</valid>
  3967. </parameter>
  3968. <parameter name="readWaitTime">
  3969. <type>int</type>
  3970. <value>1</value>
  3971. <derived>false</derived>
  3972. <enabled>true</enabled>
  3973. <visible>false</visible>
  3974. <valid>true</valid>
  3975. </parameter>
  3976. <parameter name="registerIncomingSignals">
  3977. <type>boolean</type>
  3978. <value>true</value>
  3979. <derived>false</derived>
  3980. <enabled>true</enabled>
  3981. <visible>false</visible>
  3982. <valid>true</valid>
  3983. </parameter>
  3984. <parameter name="registerOutgoingSignals">
  3985. <type>boolean</type>
  3986. <value>false</value>
  3987. <derived>false</derived>
  3988. <enabled>true</enabled>
  3989. <visible>false</visible>
  3990. <valid>true</valid>
  3991. </parameter>
  3992. <parameter name="setupTime">
  3993. <type>int</type>
  3994. <value>0</value>
  3995. <derived>false</derived>
  3996. <enabled>true</enabled>
  3997. <visible>false</visible>
  3998. <valid>true</valid>
  3999. </parameter>
  4000. <parameter name="timingUnits">
  4001. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  4002. <value>Cycles</value>
  4003. <derived>false</derived>
  4004. <enabled>true</enabled>
  4005. <visible>false</visible>
  4006. <valid>true</valid>
  4007. </parameter>
  4008. <parameter name="writeWaitTime">
  4009. <type>int</type>
  4010. <value>0</value>
  4011. <derived>false</derived>
  4012. <enabled>true</enabled>
  4013. <visible>false</visible>
  4014. <valid>true</valid>
  4015. </parameter>
  4016. <parameter name="deviceFamily">
  4017. <type>java.lang.String</type>
  4018. <value>UNKNOWN</value>
  4019. <derived>false</derived>
  4020. <enabled>true</enabled>
  4021. <visible>true</visible>
  4022. <valid>true</valid>
  4023. </parameter>
  4024. <parameter name="generateLegacySim">
  4025. <type>boolean</type>
  4026. <value>false</value>
  4027. <derived>false</derived>
  4028. <enabled>true</enabled>
  4029. <visible>true</visible>
  4030. <valid>true</valid>
  4031. </parameter>
  4032. <type>avalon</type>
  4033. <isStart>true</isStart>
  4034. <port>
  4035. <name>d_address</name>
  4036. <direction>Output</direction>
  4037. <width>20</width>
  4038. <role>address</role>
  4039. </port>
  4040. <port>
  4041. <name>d_byteenable</name>
  4042. <direction>Output</direction>
  4043. <width>4</width>
  4044. <role>byteenable</role>
  4045. </port>
  4046. <port>
  4047. <name>d_read</name>
  4048. <direction>Output</direction>
  4049. <width>1</width>
  4050. <role>read</role>
  4051. </port>
  4052. <port>
  4053. <name>d_readdata</name>
  4054. <direction>Input</direction>
  4055. <width>32</width>
  4056. <role>readdata</role>
  4057. </port>
  4058. <port>
  4059. <name>d_waitrequest</name>
  4060. <direction>Input</direction>
  4061. <width>1</width>
  4062. <role>waitrequest</role>
  4063. </port>
  4064. <port>
  4065. <name>d_write</name>
  4066. <direction>Output</direction>
  4067. <width>1</width>
  4068. <role>write</role>
  4069. </port>
  4070. <port>
  4071. <name>d_writedata</name>
  4072. <direction>Output</direction>
  4073. <width>32</width>
  4074. <role>writedata</role>
  4075. </port>
  4076. <port>
  4077. <name>debug_mem_slave_debugaccess_to_roms</name>
  4078. <direction>Output</direction>
  4079. <width>1</width>
  4080. <role>debugaccess</role>
  4081. </port>
  4082. <memoryBlock>
  4083. <isBridge>false</isBridge>
  4084. <moduleName>mm_interconnect_0</moduleName>
  4085. <slaveName>nios2_data_master</slaveName>
  4086. <name>mm_interconnect_0.nios2_data_master</name>
  4087. <baseAddress>0</baseAddress>
  4088. <span>1048576</span>
  4089. </memoryBlock>
  4090. </interface>
  4091. <interface name="instruction_master" kind="avalon_master" version="18.1">
  4092. <!-- The connection points exposed by a module instance for the
  4093. particular module parameters. Connection points and their
  4094. parameters are a RESULT of the module parameters. -->
  4095. <parameter name="adaptsTo">
  4096. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  4097. <value></value>
  4098. <derived>false</derived>
  4099. <enabled>true</enabled>
  4100. <visible>false</visible>
  4101. <valid>true</valid>
  4102. </parameter>
  4103. <parameter name="addressGroup">
  4104. <type>int</type>
  4105. <value>1</value>
  4106. <derived>false</derived>
  4107. <enabled>true</enabled>
  4108. <visible>false</visible>
  4109. <valid>true</valid>
  4110. </parameter>
  4111. <parameter name="addressUnits">
  4112. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  4113. <value>SYMBOLS</value>
  4114. <derived>false</derived>
  4115. <enabled>true</enabled>
  4116. <visible>true</visible>
  4117. <valid>true</valid>
  4118. </parameter>
  4119. <parameter name="alwaysBurstMaxBurst">
  4120. <type>boolean</type>
  4121. <value>true</value>
  4122. <derived>false</derived>
  4123. <enabled>true</enabled>
  4124. <visible>false</visible>
  4125. <valid>true</valid>
  4126. </parameter>
  4127. <parameter name="associatedClock">
  4128. <type>java.lang.String</type>
  4129. <value>clk</value>
  4130. <derived>false</derived>
  4131. <enabled>true</enabled>
  4132. <visible>true</visible>
  4133. <valid>true</valid>
  4134. </parameter>
  4135. <parameter name="associatedReset">
  4136. <type>java.lang.String</type>
  4137. <value>reset</value>
  4138. <derived>false</derived>
  4139. <enabled>true</enabled>
  4140. <visible>true</visible>
  4141. <valid>true</valid>
  4142. </parameter>
  4143. <parameter name="bitsPerSymbol">
  4144. <type>int</type>
  4145. <value>8</value>
  4146. <derived>false</derived>
  4147. <enabled>true</enabled>
  4148. <visible>false</visible>
  4149. <valid>true</valid>
  4150. </parameter>
  4151. <parameter name="burstOnBurstBoundariesOnly">
  4152. <type>boolean</type>
  4153. <value>false</value>
  4154. <derived>false</derived>
  4155. <enabled>true</enabled>
  4156. <visible>true</visible>
  4157. <valid>true</valid>
  4158. </parameter>
  4159. <parameter name="burstcountUnits">
  4160. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  4161. <value>WORDS</value>
  4162. <derived>false</derived>
  4163. <enabled>true</enabled>
  4164. <visible>false</visible>
  4165. <valid>true</valid>
  4166. </parameter>
  4167. <parameter name="constantBurstBehavior">
  4168. <type>boolean</type>
  4169. <value>false</value>
  4170. <derived>false</derived>
  4171. <enabled>true</enabled>
  4172. <visible>false</visible>
  4173. <valid>true</valid>
  4174. </parameter>
  4175. <parameter name="dBSBigEndian">
  4176. <type>boolean</type>
  4177. <value>false</value>
  4178. <derived>false</derived>
  4179. <enabled>true</enabled>
  4180. <visible>false</visible>
  4181. <valid>true</valid>
  4182. </parameter>
  4183. <parameter name="doStreamReads">
  4184. <type>boolean</type>
  4185. <value>false</value>
  4186. <derived>false</derived>
  4187. <enabled>true</enabled>
  4188. <visible>true</visible>
  4189. <valid>true</valid>
  4190. </parameter>
  4191. <parameter name="doStreamWrites">
  4192. <type>boolean</type>
  4193. <value>false</value>
  4194. <derived>false</derived>
  4195. <enabled>true</enabled>
  4196. <visible>true</visible>
  4197. <valid>true</valid>
  4198. </parameter>
  4199. <parameter name="holdTime">
  4200. <type>int</type>
  4201. <value>0</value>
  4202. <derived>false</derived>
  4203. <enabled>true</enabled>
  4204. <visible>false</visible>
  4205. <valid>true</valid>
  4206. </parameter>
  4207. <parameter name="interleaveBursts">
  4208. <type>boolean</type>
  4209. <value>false</value>
  4210. <derived>false</derived>
  4211. <enabled>true</enabled>
  4212. <visible>false</visible>
  4213. <valid>true</valid>
  4214. </parameter>
  4215. <parameter name="isAsynchronous">
  4216. <type>boolean</type>
  4217. <value>false</value>
  4218. <derived>false</derived>
  4219. <enabled>true</enabled>
  4220. <visible>false</visible>
  4221. <valid>true</valid>
  4222. </parameter>
  4223. <parameter name="isBigEndian">
  4224. <type>boolean</type>
  4225. <value>false</value>
  4226. <derived>false</derived>
  4227. <enabled>true</enabled>
  4228. <visible>false</visible>
  4229. <valid>true</valid>
  4230. </parameter>
  4231. <parameter name="isReadable">
  4232. <type>boolean</type>
  4233. <value>false</value>
  4234. <derived>false</derived>
  4235. <enabled>true</enabled>
  4236. <visible>false</visible>
  4237. <valid>true</valid>
  4238. </parameter>
  4239. <parameter name="isWriteable">
  4240. <type>boolean</type>
  4241. <value>false</value>
  4242. <derived>false</derived>
  4243. <enabled>true</enabled>
  4244. <visible>false</visible>
  4245. <valid>true</valid>
  4246. </parameter>
  4247. <parameter name="linewrapBursts">
  4248. <type>boolean</type>
  4249. <value>true</value>
  4250. <derived>false</derived>
  4251. <enabled>true</enabled>
  4252. <visible>true</visible>
  4253. <valid>true</valid>
  4254. </parameter>
  4255. <parameter name="maxAddressWidth">
  4256. <type>int</type>
  4257. <value>32</value>
  4258. <derived>false</derived>
  4259. <enabled>true</enabled>
  4260. <visible>false</visible>
  4261. <valid>true</valid>
  4262. </parameter>
  4263. <parameter name="maximumPendingReadTransactions">
  4264. <type>int</type>
  4265. <value>0</value>
  4266. <derived>false</derived>
  4267. <enabled>true</enabled>
  4268. <visible>false</visible>
  4269. <valid>true</valid>
  4270. </parameter>
  4271. <parameter name="maximumPendingWriteTransactions">
  4272. <type>int</type>
  4273. <value>0</value>
  4274. <derived>false</derived>
  4275. <enabled>true</enabled>
  4276. <visible>false</visible>
  4277. <valid>true</valid>
  4278. </parameter>
  4279. <parameter name="readLatency">
  4280. <type>int</type>
  4281. <value>0</value>
  4282. <derived>false</derived>
  4283. <enabled>true</enabled>
  4284. <visible>true</visible>
  4285. <valid>true</valid>
  4286. </parameter>
  4287. <parameter name="readWaitTime">
  4288. <type>int</type>
  4289. <value>1</value>
  4290. <derived>false</derived>
  4291. <enabled>true</enabled>
  4292. <visible>false</visible>
  4293. <valid>true</valid>
  4294. </parameter>
  4295. <parameter name="registerIncomingSignals">
  4296. <type>boolean</type>
  4297. <value>false</value>
  4298. <derived>false</derived>
  4299. <enabled>true</enabled>
  4300. <visible>false</visible>
  4301. <valid>true</valid>
  4302. </parameter>
  4303. <parameter name="registerOutgoingSignals">
  4304. <type>boolean</type>
  4305. <value>false</value>
  4306. <derived>false</derived>
  4307. <enabled>true</enabled>
  4308. <visible>false</visible>
  4309. <valid>true</valid>
  4310. </parameter>
  4311. <parameter name="setupTime">
  4312. <type>int</type>
  4313. <value>0</value>
  4314. <derived>false</derived>
  4315. <enabled>true</enabled>
  4316. <visible>false</visible>
  4317. <valid>true</valid>
  4318. </parameter>
  4319. <parameter name="timingUnits">
  4320. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  4321. <value>Cycles</value>
  4322. <derived>false</derived>
  4323. <enabled>true</enabled>
  4324. <visible>false</visible>
  4325. <valid>true</valid>
  4326. </parameter>
  4327. <parameter name="writeWaitTime">
  4328. <type>int</type>
  4329. <value>0</value>
  4330. <derived>false</derived>
  4331. <enabled>true</enabled>
  4332. <visible>false</visible>
  4333. <valid>true</valid>
  4334. </parameter>
  4335. <parameter name="deviceFamily">
  4336. <type>java.lang.String</type>
  4337. <value>UNKNOWN</value>
  4338. <derived>false</derived>
  4339. <enabled>true</enabled>
  4340. <visible>true</visible>
  4341. <valid>true</valid>
  4342. </parameter>
  4343. <parameter name="generateLegacySim">
  4344. <type>boolean</type>
  4345. <value>false</value>
  4346. <derived>false</derived>
  4347. <enabled>true</enabled>
  4348. <visible>true</visible>
  4349. <valid>true</valid>
  4350. </parameter>
  4351. <type>avalon</type>
  4352. <isStart>true</isStart>
  4353. <port>
  4354. <name>i_address</name>
  4355. <direction>Output</direction>
  4356. <width>20</width>
  4357. <role>address</role>
  4358. </port>
  4359. <port>
  4360. <name>i_read</name>
  4361. <direction>Output</direction>
  4362. <width>1</width>
  4363. <role>read</role>
  4364. </port>
  4365. <port>
  4366. <name>i_readdata</name>
  4367. <direction>Input</direction>
  4368. <width>32</width>
  4369. <role>readdata</role>
  4370. </port>
  4371. <port>
  4372. <name>i_waitrequest</name>
  4373. <direction>Input</direction>
  4374. <width>1</width>
  4375. <role>waitrequest</role>
  4376. </port>
  4377. <memoryBlock>
  4378. <isBridge>false</isBridge>
  4379. <moduleName>mm_interconnect_0</moduleName>
  4380. <slaveName>nios2_instruction_master</slaveName>
  4381. <name>mm_interconnect_0.nios2_instruction_master</name>
  4382. <baseAddress>0</baseAddress>
  4383. <span>1048576</span>
  4384. </memoryBlock>
  4385. </interface>
  4386. <interface name="irq" kind="interrupt_receiver" version="18.1">
  4387. <!-- The connection points exposed by a module instance for the
  4388. particular module parameters. Connection points and their
  4389. parameters are a RESULT of the module parameters. -->
  4390. <parameter name="associatedAddressablePoint">
  4391. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  4392. <value>nios2.data_master</value>
  4393. <derived>false</derived>
  4394. <enabled>true</enabled>
  4395. <visible>true</visible>
  4396. <valid>true</valid>
  4397. </parameter>
  4398. <parameter name="associatedClock">
  4399. <type>java.lang.String</type>
  4400. <value>clk</value>
  4401. <derived>false</derived>
  4402. <enabled>true</enabled>
  4403. <visible>false</visible>
  4404. <valid>true</valid>
  4405. </parameter>
  4406. <parameter name="associatedReset">
  4407. <type>java.lang.String</type>
  4408. <value>reset</value>
  4409. <derived>false</derived>
  4410. <enabled>true</enabled>
  4411. <visible>false</visible>
  4412. <valid>true</valid>
  4413. </parameter>
  4414. <parameter name="irqMap">
  4415. <type>java.lang.String</type>
  4416. <value></value>
  4417. <derived>false</derived>
  4418. <enabled>true</enabled>
  4419. <visible>false</visible>
  4420. <valid>true</valid>
  4421. </parameter>
  4422. <parameter name="irqScheme">
  4423. <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
  4424. <value>INDIVIDUAL_REQUESTS</value>
  4425. <derived>false</derived>
  4426. <enabled>true</enabled>
  4427. <visible>true</visible>
  4428. <valid>true</valid>
  4429. </parameter>
  4430. <parameter name="deviceFamily">
  4431. <type>java.lang.String</type>
  4432. <value>UNKNOWN</value>
  4433. <derived>false</derived>
  4434. <enabled>true</enabled>
  4435. <visible>true</visible>
  4436. <valid>true</valid>
  4437. </parameter>
  4438. <parameter name="generateLegacySim">
  4439. <type>boolean</type>
  4440. <value>false</value>
  4441. <derived>false</derived>
  4442. <enabled>true</enabled>
  4443. <visible>true</visible>
  4444. <valid>true</valid>
  4445. </parameter>
  4446. <type>interrupt</type>
  4447. <isStart>true</isStart>
  4448. <port>
  4449. <name>irq</name>
  4450. <direction>Input</direction>
  4451. <width>32</width>
  4452. <role>irq</role>
  4453. </port>
  4454. <interrupt>
  4455. <isBridge>false</isBridge>
  4456. <moduleName>irq_mapper</moduleName>
  4457. <slaveName>sender</slaveName>
  4458. <name>irq_mapper.sender</name>
  4459. <interruptNumber>0</interruptNumber>
  4460. </interrupt>
  4461. </interface>
  4462. <interface name="debug_reset_request" kind="reset_source" version="18.1">
  4463. <!-- The connection points exposed by a module instance for the
  4464. particular module parameters. Connection points and their
  4465. parameters are a RESULT of the module parameters. -->
  4466. <parameter name="associatedClock">
  4467. <type>java.lang.String</type>
  4468. <value>clk</value>
  4469. <derived>false</derived>
  4470. <enabled>true</enabled>
  4471. <visible>true</visible>
  4472. <valid>true</valid>
  4473. </parameter>
  4474. <parameter name="associatedDirectReset">
  4475. <type>java.lang.String</type>
  4476. <value></value>
  4477. <derived>false</derived>
  4478. <enabled>true</enabled>
  4479. <visible>true</visible>
  4480. <valid>true</valid>
  4481. </parameter>
  4482. <parameter name="associatedResetSinks">
  4483. <type>[Ljava.lang.String;</type>
  4484. <value>none</value>
  4485. <derived>false</derived>
  4486. <enabled>true</enabled>
  4487. <visible>true</visible>
  4488. <valid>true</valid>
  4489. </parameter>
  4490. <parameter name="synchronousEdges">
  4491. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  4492. <value>DEASSERT</value>
  4493. <derived>false</derived>
  4494. <enabled>true</enabled>
  4495. <visible>true</visible>
  4496. <valid>true</valid>
  4497. </parameter>
  4498. <parameter name="deviceFamily">
  4499. <type>java.lang.String</type>
  4500. <value>UNKNOWN</value>
  4501. <derived>false</derived>
  4502. <enabled>true</enabled>
  4503. <visible>true</visible>
  4504. <valid>true</valid>
  4505. </parameter>
  4506. <parameter name="generateLegacySim">
  4507. <type>boolean</type>
  4508. <value>false</value>
  4509. <derived>false</derived>
  4510. <enabled>true</enabled>
  4511. <visible>true</visible>
  4512. <valid>true</valid>
  4513. </parameter>
  4514. <type>reset</type>
  4515. <isStart>true</isStart>
  4516. <port>
  4517. <name>debug_reset_request</name>
  4518. <direction>Output</direction>
  4519. <width>1</width>
  4520. <role>reset</role>
  4521. </port>
  4522. </interface>
  4523. <interface name="debug_mem_slave" kind="avalon_slave" version="18.1">
  4524. <!-- The connection points exposed by a module instance for the
  4525. particular module parameters. Connection points and their
  4526. parameters are a RESULT of the module parameters. -->
  4527. <assignment>
  4528. <name>embeddedsw.configuration.hideDevice</name>
  4529. <value>1</value>
  4530. </assignment>
  4531. <assignment>
  4532. <name>qsys.ui.connect</name>
  4533. <value>instruction_master,data_master</value>
  4534. </assignment>
  4535. <parameter name="addressAlignment">
  4536. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  4537. <value>DYNAMIC</value>
  4538. <derived>false</derived>
  4539. <enabled>true</enabled>
  4540. <visible>true</visible>
  4541. <valid>true</valid>
  4542. </parameter>
  4543. <parameter name="addressGroup">
  4544. <type>int</type>
  4545. <value>0</value>
  4546. <derived>false</derived>
  4547. <enabled>true</enabled>
  4548. <visible>false</visible>
  4549. <valid>true</valid>
  4550. </parameter>
  4551. <parameter name="addressSpan">
  4552. <type>java.math.BigInteger</type>
  4553. <value>2048</value>
  4554. <derived>true</derived>
  4555. <enabled>true</enabled>
  4556. <visible>false</visible>
  4557. <valid>true</valid>
  4558. </parameter>
  4559. <parameter name="addressUnits">
  4560. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  4561. <value>WORDS</value>
  4562. <derived>false</derived>
  4563. <enabled>true</enabled>
  4564. <visible>true</visible>
  4565. <valid>true</valid>
  4566. </parameter>
  4567. <parameter name="alwaysBurstMaxBurst">
  4568. <type>boolean</type>
  4569. <value>false</value>
  4570. <derived>false</derived>
  4571. <enabled>true</enabled>
  4572. <visible>false</visible>
  4573. <valid>true</valid>
  4574. </parameter>
  4575. <parameter name="associatedClock">
  4576. <type>java.lang.String</type>
  4577. <value>clk</value>
  4578. <derived>false</derived>
  4579. <enabled>true</enabled>
  4580. <visible>true</visible>
  4581. <valid>true</valid>
  4582. </parameter>
  4583. <parameter name="associatedReset">
  4584. <type>java.lang.String</type>
  4585. <value>reset</value>
  4586. <derived>false</derived>
  4587. <enabled>true</enabled>
  4588. <visible>false</visible>
  4589. <valid>true</valid>
  4590. </parameter>
  4591. <parameter name="bitsPerSymbol">
  4592. <type>int</type>
  4593. <value>8</value>
  4594. <derived>false</derived>
  4595. <enabled>true</enabled>
  4596. <visible>false</visible>
  4597. <valid>true</valid>
  4598. </parameter>
  4599. <parameter name="bridgedAddressOffset">
  4600. <type>java.math.BigInteger</type>
  4601. <value>0</value>
  4602. <derived>false</derived>
  4603. <enabled>true</enabled>
  4604. <visible>false</visible>
  4605. <valid>true</valid>
  4606. </parameter>
  4607. <parameter name="bridgesToMaster">
  4608. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  4609. <value></value>
  4610. <derived>false</derived>
  4611. <enabled>true</enabled>
  4612. <visible>false</visible>
  4613. <valid>true</valid>
  4614. </parameter>
  4615. <parameter name="burstOnBurstBoundariesOnly">
  4616. <type>boolean</type>
  4617. <value>false</value>
  4618. <derived>false</derived>
  4619. <enabled>true</enabled>
  4620. <visible>true</visible>
  4621. <valid>true</valid>
  4622. </parameter>
  4623. <parameter name="burstcountUnits">
  4624. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  4625. <value>WORDS</value>
  4626. <derived>false</derived>
  4627. <enabled>true</enabled>
  4628. <visible>false</visible>
  4629. <valid>true</valid>
  4630. </parameter>
  4631. <parameter name="constantBurstBehavior">
  4632. <type>boolean</type>
  4633. <value>false</value>
  4634. <derived>false</derived>
  4635. <enabled>true</enabled>
  4636. <visible>false</visible>
  4637. <valid>true</valid>
  4638. </parameter>
  4639. <parameter name="explicitAddressSpan">
  4640. <type>java.math.BigInteger</type>
  4641. <value>0</value>
  4642. <derived>false</derived>
  4643. <enabled>true</enabled>
  4644. <visible>true</visible>
  4645. <valid>true</valid>
  4646. </parameter>
  4647. <parameter name="holdTime">
  4648. <type>int</type>
  4649. <value>0</value>
  4650. <derived>false</derived>
  4651. <enabled>true</enabled>
  4652. <visible>true</visible>
  4653. <valid>true</valid>
  4654. </parameter>
  4655. <parameter name="interleaveBursts">
  4656. <type>boolean</type>
  4657. <value>false</value>
  4658. <derived>false</derived>
  4659. <enabled>true</enabled>
  4660. <visible>false</visible>
  4661. <valid>true</valid>
  4662. </parameter>
  4663. <parameter name="isBigEndian">
  4664. <type>boolean</type>
  4665. <value>false</value>
  4666. <derived>false</derived>
  4667. <enabled>true</enabled>
  4668. <visible>false</visible>
  4669. <valid>true</valid>
  4670. </parameter>
  4671. <parameter name="isFlash">
  4672. <type>boolean</type>
  4673. <value>false</value>
  4674. <derived>false</derived>
  4675. <enabled>true</enabled>
  4676. <visible>false</visible>
  4677. <valid>true</valid>
  4678. </parameter>
  4679. <parameter name="isMemoryDevice">
  4680. <type>boolean</type>
  4681. <value>false</value>
  4682. <derived>false</derived>
  4683. <enabled>true</enabled>
  4684. <visible>true</visible>
  4685. <valid>true</valid>
  4686. </parameter>
  4687. <parameter name="isNonVolatileStorage">
  4688. <type>boolean</type>
  4689. <value>false</value>
  4690. <derived>false</derived>
  4691. <enabled>true</enabled>
  4692. <visible>true</visible>
  4693. <valid>true</valid>
  4694. </parameter>
  4695. <parameter name="linewrapBursts">
  4696. <type>boolean</type>
  4697. <value>false</value>
  4698. <derived>false</derived>
  4699. <enabled>true</enabled>
  4700. <visible>true</visible>
  4701. <valid>true</valid>
  4702. </parameter>
  4703. <parameter name="maximumPendingReadTransactions">
  4704. <type>int</type>
  4705. <value>0</value>
  4706. <derived>false</derived>
  4707. <enabled>false</enabled>
  4708. <visible>true</visible>
  4709. <valid>true</valid>
  4710. </parameter>
  4711. <parameter name="maximumPendingWriteTransactions">
  4712. <type>int</type>
  4713. <value>0</value>
  4714. <derived>false</derived>
  4715. <enabled>false</enabled>
  4716. <visible>true</visible>
  4717. <valid>true</valid>
  4718. </parameter>
  4719. <parameter name="minimumUninterruptedRunLength">
  4720. <type>int</type>
  4721. <value>1</value>
  4722. <derived>false</derived>
  4723. <enabled>true</enabled>
  4724. <visible>false</visible>
  4725. <valid>true</valid>
  4726. </parameter>
  4727. <parameter name="printableDevice">
  4728. <type>boolean</type>
  4729. <value>false</value>
  4730. <derived>false</derived>
  4731. <enabled>true</enabled>
  4732. <visible>true</visible>
  4733. <valid>true</valid>
  4734. </parameter>
  4735. <parameter name="readLatency">
  4736. <type>int</type>
  4737. <value>0</value>
  4738. <derived>false</derived>
  4739. <enabled>true</enabled>
  4740. <visible>true</visible>
  4741. <valid>true</valid>
  4742. </parameter>
  4743. <parameter name="readWaitStates">
  4744. <type>int</type>
  4745. <value>1</value>
  4746. <derived>false</derived>
  4747. <enabled>true</enabled>
  4748. <visible>false</visible>
  4749. <valid>true</valid>
  4750. </parameter>
  4751. <parameter name="readWaitTime">
  4752. <type>int</type>
  4753. <value>1</value>
  4754. <derived>false</derived>
  4755. <enabled>true</enabled>
  4756. <visible>true</visible>
  4757. <valid>true</valid>
  4758. </parameter>
  4759. <parameter name="registerIncomingSignals">
  4760. <type>boolean</type>
  4761. <value>true</value>
  4762. <derived>false</derived>
  4763. <enabled>true</enabled>
  4764. <visible>false</visible>
  4765. <valid>true</valid>
  4766. </parameter>
  4767. <parameter name="registerOutgoingSignals">
  4768. <type>boolean</type>
  4769. <value>false</value>
  4770. <derived>false</derived>
  4771. <enabled>true</enabled>
  4772. <visible>false</visible>
  4773. <valid>true</valid>
  4774. </parameter>
  4775. <parameter name="setupTime">
  4776. <type>int</type>
  4777. <value>0</value>
  4778. <derived>false</derived>
  4779. <enabled>true</enabled>
  4780. <visible>true</visible>
  4781. <valid>true</valid>
  4782. </parameter>
  4783. <parameter name="timingUnits">
  4784. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  4785. <value>Cycles</value>
  4786. <derived>false</derived>
  4787. <enabled>true</enabled>
  4788. <visible>true</visible>
  4789. <valid>true</valid>
  4790. </parameter>
  4791. <parameter name="transparentBridge">
  4792. <type>boolean</type>
  4793. <value>false</value>
  4794. <derived>false</derived>
  4795. <enabled>true</enabled>
  4796. <visible>false</visible>
  4797. <valid>true</valid>
  4798. </parameter>
  4799. <parameter name="wellBehavedWaitrequest">
  4800. <type>boolean</type>
  4801. <value>false</value>
  4802. <derived>false</derived>
  4803. <enabled>true</enabled>
  4804. <visible>false</visible>
  4805. <valid>true</valid>
  4806. </parameter>
  4807. <parameter name="writeLatency">
  4808. <type>int</type>
  4809. <value>0</value>
  4810. <derived>false</derived>
  4811. <enabled>true</enabled>
  4812. <visible>false</visible>
  4813. <valid>true</valid>
  4814. </parameter>
  4815. <parameter name="writeWaitStates">
  4816. <type>int</type>
  4817. <value>0</value>
  4818. <derived>false</derived>
  4819. <enabled>true</enabled>
  4820. <visible>false</visible>
  4821. <valid>true</valid>
  4822. </parameter>
  4823. <parameter name="writeWaitTime">
  4824. <type>int</type>
  4825. <value>0</value>
  4826. <derived>false</derived>
  4827. <enabled>true</enabled>
  4828. <visible>true</visible>
  4829. <valid>true</valid>
  4830. </parameter>
  4831. <parameter name="deviceFamily">
  4832. <type>java.lang.String</type>
  4833. <value>UNKNOWN</value>
  4834. <derived>false</derived>
  4835. <enabled>true</enabled>
  4836. <visible>true</visible>
  4837. <valid>true</valid>
  4838. </parameter>
  4839. <parameter name="generateLegacySim">
  4840. <type>boolean</type>
  4841. <value>false</value>
  4842. <derived>false</derived>
  4843. <enabled>true</enabled>
  4844. <visible>true</visible>
  4845. <valid>true</valid>
  4846. </parameter>
  4847. <type>avalon</type>
  4848. <isStart>false</isStart>
  4849. <port>
  4850. <name>debug_mem_slave_address</name>
  4851. <direction>Input</direction>
  4852. <width>9</width>
  4853. <role>address</role>
  4854. </port>
  4855. <port>
  4856. <name>debug_mem_slave_byteenable</name>
  4857. <direction>Input</direction>
  4858. <width>4</width>
  4859. <role>byteenable</role>
  4860. </port>
  4861. <port>
  4862. <name>debug_mem_slave_debugaccess</name>
  4863. <direction>Input</direction>
  4864. <width>1</width>
  4865. <role>debugaccess</role>
  4866. </port>
  4867. <port>
  4868. <name>debug_mem_slave_read</name>
  4869. <direction>Input</direction>
  4870. <width>1</width>
  4871. <role>read</role>
  4872. </port>
  4873. <port>
  4874. <name>debug_mem_slave_readdata</name>
  4875. <direction>Output</direction>
  4876. <width>32</width>
  4877. <role>readdata</role>
  4878. </port>
  4879. <port>
  4880. <name>debug_mem_slave_waitrequest</name>
  4881. <direction>Output</direction>
  4882. <width>1</width>
  4883. <role>waitrequest</role>
  4884. </port>
  4885. <port>
  4886. <name>debug_mem_slave_write</name>
  4887. <direction>Input</direction>
  4888. <width>1</width>
  4889. <role>write</role>
  4890. </port>
  4891. <port>
  4892. <name>debug_mem_slave_writedata</name>
  4893. <direction>Input</direction>
  4894. <width>32</width>
  4895. <role>writedata</role>
  4896. </port>
  4897. </interface>
  4898. <interface
  4899. name="custom_instruction_master"
  4900. kind="nios_custom_instruction_master"
  4901. version="18.1">
  4902. <!-- The connection points exposed by a module instance for the
  4903. particular module parameters. Connection points and their
  4904. parameters are a RESULT of the module parameters. -->
  4905. <parameter name="CIName">
  4906. <type>java.lang.String</type>
  4907. <value></value>
  4908. <derived>true</derived>
  4909. <enabled>true</enabled>
  4910. <visible>false</visible>
  4911. <valid>true</valid>
  4912. </parameter>
  4913. <parameter name="addressWidth">
  4914. <type>int</type>
  4915. <value>8</value>
  4916. <derived>false</derived>
  4917. <enabled>true</enabled>
  4918. <visible>false</visible>
  4919. <valid>true</valid>
  4920. </parameter>
  4921. <parameter name="clockCycle">
  4922. <type>int</type>
  4923. <value>0</value>
  4924. <derived>false</derived>
  4925. <enabled>true</enabled>
  4926. <visible>true</visible>
  4927. <valid>true</valid>
  4928. </parameter>
  4929. <parameter name="enabled">
  4930. <type>boolean</type>
  4931. <value>false</value>
  4932. <derived>false</derived>
  4933. <enabled>true</enabled>
  4934. <visible>false</visible>
  4935. <valid>true</valid>
  4936. </parameter>
  4937. <parameter name="maxAddressWidth">
  4938. <type>int</type>
  4939. <value>8</value>
  4940. <derived>false</derived>
  4941. <enabled>true</enabled>
  4942. <visible>false</visible>
  4943. <valid>true</valid>
  4944. </parameter>
  4945. <parameter name="opcodeExtension">
  4946. <type>int</type>
  4947. <value>0</value>
  4948. <derived>true</derived>
  4949. <enabled>true</enabled>
  4950. <visible>false</visible>
  4951. <valid>true</valid>
  4952. </parameter>
  4953. <parameter name="sharedCombinationalAndMulticycle">
  4954. <type>boolean</type>
  4955. <value>true</value>
  4956. <derived>false</derived>
  4957. <enabled>true</enabled>
  4958. <visible>false</visible>
  4959. <valid>true</valid>
  4960. </parameter>
  4961. <parameter name="deviceFamily">
  4962. <type>java.lang.String</type>
  4963. <value>UNKNOWN</value>
  4964. <derived>false</derived>
  4965. <enabled>true</enabled>
  4966. <visible>true</visible>
  4967. <valid>true</valid>
  4968. </parameter>
  4969. <parameter name="generateLegacySim">
  4970. <type>boolean</type>
  4971. <value>false</value>
  4972. <derived>false</derived>
  4973. <enabled>true</enabled>
  4974. <visible>true</visible>
  4975. <valid>true</valid>
  4976. </parameter>
  4977. <type>nios_custom_instruction</type>
  4978. <isStart>true</isStart>
  4979. <port>
  4980. <name>E_ci_multi_done</name>
  4981. <direction>Input</direction>
  4982. <width>1</width>
  4983. <role>done</role>
  4984. </port>
  4985. <port>
  4986. <name>E_ci_multi_clk_en</name>
  4987. <direction>Output</direction>
  4988. <width>1</width>
  4989. <role>clk_en</role>
  4990. </port>
  4991. <port>
  4992. <name>E_ci_multi_start</name>
  4993. <direction>Output</direction>
  4994. <width>1</width>
  4995. <role>start</role>
  4996. </port>
  4997. <port>
  4998. <name>E_ci_result</name>
  4999. <direction>Input</direction>
  5000. <width>32</width>
  5001. <role>result</role>
  5002. </port>
  5003. <port>
  5004. <name>D_ci_a</name>
  5005. <direction>Output</direction>
  5006. <width>5</width>
  5007. <role>a</role>
  5008. </port>
  5009. <port>
  5010. <name>D_ci_b</name>
  5011. <direction>Output</direction>
  5012. <width>5</width>
  5013. <role>b</role>
  5014. </port>
  5015. <port>
  5016. <name>D_ci_c</name>
  5017. <direction>Output</direction>
  5018. <width>5</width>
  5019. <role>c</role>
  5020. </port>
  5021. <port>
  5022. <name>D_ci_n</name>
  5023. <direction>Output</direction>
  5024. <width>8</width>
  5025. <role>n</role>
  5026. </port>
  5027. <port>
  5028. <name>D_ci_readra</name>
  5029. <direction>Output</direction>
  5030. <width>1</width>
  5031. <role>readra</role>
  5032. </port>
  5033. <port>
  5034. <name>D_ci_readrb</name>
  5035. <direction>Output</direction>
  5036. <width>1</width>
  5037. <role>readrb</role>
  5038. </port>
  5039. <port>
  5040. <name>D_ci_writerc</name>
  5041. <direction>Output</direction>
  5042. <width>1</width>
  5043. <role>writerc</role>
  5044. </port>
  5045. <port>
  5046. <name>E_ci_dataa</name>
  5047. <direction>Output</direction>
  5048. <width>32</width>
  5049. <role>dataa</role>
  5050. </port>
  5051. <port>
  5052. <name>E_ci_datab</name>
  5053. <direction>Output</direction>
  5054. <width>32</width>
  5055. <role>datab</role>
  5056. </port>
  5057. <port>
  5058. <name>E_ci_multi_clock</name>
  5059. <direction>Output</direction>
  5060. <width>1</width>
  5061. <role>clk</role>
  5062. </port>
  5063. <port>
  5064. <name>E_ci_multi_reset</name>
  5065. <direction>Output</direction>
  5066. <width>1</width>
  5067. <role>reset</role>
  5068. </port>
  5069. <port>
  5070. <name>E_ci_multi_reset_req</name>
  5071. <direction>Output</direction>
  5072. <width>1</width>
  5073. <role>reset_req</role>
  5074. </port>
  5075. <port>
  5076. <name>W_ci_estatus</name>
  5077. <direction>Output</direction>
  5078. <width>1</width>
  5079. <role>estatus</role>
  5080. </port>
  5081. <port>
  5082. <name>W_ci_ipending</name>
  5083. <direction>Output</direction>
  5084. <width>32</width>
  5085. <role>ipending</role>
  5086. </port>
  5087. <customInstruction>
  5088. <isBridge>false</isBridge>
  5089. <moduleName>nios2_custom_instruction_master_translator</moduleName>
  5090. <slaveName>ci_slave</slaveName>
  5091. <name>nios2_custom_instruction_master_translator.ci_slave</name>
  5092. <opcodeNumber>0</opcodeNumber>
  5093. <opcodeMnemonic></opcodeMnemonic>
  5094. </customInstruction>
  5095. </interface>
  5096. </module>
  5097. <module
  5098. name="nios_custom_instr_floating_point_0"
  5099. kind="altera_nios_custom_instr_floating_point"
  5100. version="18.1"
  5101. path="nios_custom_instr_floating_point_0">
  5102. <!-- Describes a single module. Module parameters are
  5103. the requested settings for a module instance. -->
  5104. <assignment>
  5105. <name>embeddedsw.configuration.useDivider</name>
  5106. <value>1</value>
  5107. </assignment>
  5108. <parameter name="useDivider">
  5109. <type>int</type>
  5110. <value>1</value>
  5111. <derived>false</derived>
  5112. <enabled>true</enabled>
  5113. <visible>true</visible>
  5114. <valid>true</valid>
  5115. </parameter>
  5116. <parameter name="deviceFamily">
  5117. <type>java.lang.String</type>
  5118. <value>UNKNOWN</value>
  5119. <derived>false</derived>
  5120. <enabled>true</enabled>
  5121. <visible>true</visible>
  5122. <valid>true</valid>
  5123. </parameter>
  5124. <parameter name="generateLegacySim">
  5125. <type>boolean</type>
  5126. <value>false</value>
  5127. <derived>false</derived>
  5128. <enabled>true</enabled>
  5129. <visible>true</visible>
  5130. <valid>true</valid>
  5131. </parameter>
  5132. <interface name="s1" kind="nios_custom_instruction_slave" version="18.1">
  5133. <!-- The connection points exposed by a module instance for the
  5134. particular module parameters. Connection points and their
  5135. parameters are a RESULT of the module parameters. -->
  5136. <parameter name="CIName">
  5137. <type>java.lang.String</type>
  5138. <value></value>
  5139. <derived>true</derived>
  5140. <enabled>true</enabled>
  5141. <visible>false</visible>
  5142. <valid>true</valid>
  5143. </parameter>
  5144. <parameter name="NPort">
  5145. <type>int</type>
  5146. <value>2</value>
  5147. <derived>true</derived>
  5148. <enabled>true</enabled>
  5149. <visible>false</visible>
  5150. <valid>true</valid>
  5151. </parameter>
  5152. <parameter name="clockCycle">
  5153. <type>int</type>
  5154. <value>1</value>
  5155. <derived>false</derived>
  5156. <enabled>true</enabled>
  5157. <visible>true</visible>
  5158. <valid>true</valid>
  5159. </parameter>
  5160. <parameter name="clockCycleType">
  5161. <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
  5162. <value>VARIABLE</value>
  5163. <derived>true</derived>
  5164. <enabled>true</enabled>
  5165. <visible>true</visible>
  5166. <valid>true</valid>
  5167. </parameter>
  5168. <parameter name="opcodeExtension">
  5169. <type>int</type>
  5170. <value>0</value>
  5171. <derived>true</derived>
  5172. <enabled>true</enabled>
  5173. <visible>false</visible>
  5174. <valid>true</valid>
  5175. </parameter>
  5176. <parameter name="opcodeExtensionLocked">
  5177. <type>boolean</type>
  5178. <value>true</value>
  5179. <derived>false</derived>
  5180. <enabled>true</enabled>
  5181. <visible>false</visible>
  5182. <valid>true</valid>
  5183. </parameter>
  5184. <parameter name="opcodeExtensionLockedValue">
  5185. <type>int</type>
  5186. <value>252</value>
  5187. <derived>false</derived>
  5188. <enabled>true</enabled>
  5189. <visible>false</visible>
  5190. <valid>true</valid>
  5191. </parameter>
  5192. <parameter name="operands">
  5193. <type>int</type>
  5194. <value>2</value>
  5195. <derived>false</derived>
  5196. <enabled>true</enabled>
  5197. <visible>true</visible>
  5198. <valid>true</valid>
  5199. </parameter>
  5200. <parameter name="deviceFamily">
  5201. <type>java.lang.String</type>
  5202. <value>UNKNOWN</value>
  5203. <derived>false</derived>
  5204. <enabled>true</enabled>
  5205. <visible>true</visible>
  5206. <valid>true</valid>
  5207. </parameter>
  5208. <parameter name="generateLegacySim">
  5209. <type>boolean</type>
  5210. <value>false</value>
  5211. <derived>false</derived>
  5212. <enabled>true</enabled>
  5213. <visible>true</visible>
  5214. <valid>true</valid>
  5215. </parameter>
  5216. <type>nios_custom_instruction</type>
  5217. <isStart>false</isStart>
  5218. <port>
  5219. <name>clk</name>
  5220. <direction>Input</direction>
  5221. <width>1</width>
  5222. <role>clk</role>
  5223. </port>
  5224. <port>
  5225. <name>clk_en</name>
  5226. <direction>Input</direction>
  5227. <width>1</width>
  5228. <role>clk_en</role>
  5229. </port>
  5230. <port>
  5231. <name>dataa</name>
  5232. <direction>Input</direction>
  5233. <width>32</width>
  5234. <role>dataa</role>
  5235. </port>
  5236. <port>
  5237. <name>datab</name>
  5238. <direction>Input</direction>
  5239. <width>32</width>
  5240. <role>datab</role>
  5241. </port>
  5242. <port>
  5243. <name>n</name>
  5244. <direction>Input</direction>
  5245. <width>2</width>
  5246. <role>n</role>
  5247. </port>
  5248. <port>
  5249. <name>reset</name>
  5250. <direction>Input</direction>
  5251. <width>1</width>
  5252. <role>reset</role>
  5253. </port>
  5254. <port>
  5255. <name>start</name>
  5256. <direction>Input</direction>
  5257. <width>1</width>
  5258. <role>start</role>
  5259. </port>
  5260. <port>
  5261. <name>done</name>
  5262. <direction>Output</direction>
  5263. <width>1</width>
  5264. <role>done</role>
  5265. </port>
  5266. <port>
  5267. <name>result</name>
  5268. <direction>Output</direction>
  5269. <width>32</width>
  5270. <role>result</role>
  5271. </port>
  5272. </interface>
  5273. </module>
  5274. <module
  5275. name="onchip_memory2"
  5276. kind="altera_avalon_onchip_memory2"
  5277. version="18.1"
  5278. path="onchip_memory2">
  5279. <!-- Describes a single module. Module parameters are
  5280. the requested settings for a module instance. -->
  5281. <assignment>
  5282. <name>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</name>
  5283. <value>0</value>
  5284. </assignment>
  5285. <assignment>
  5286. <name>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</name>
  5287. <value>0</value>
  5288. </assignment>
  5289. <assignment>
  5290. <name>embeddedsw.CMacro.CONTENTS_INFO</name>
  5291. <value>""</value>
  5292. </assignment>
  5293. <assignment>
  5294. <name>embeddedsw.CMacro.DUAL_PORT</name>
  5295. <value>0</value>
  5296. </assignment>
  5297. <assignment>
  5298. <name>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</name>
  5299. <value>AUTO</value>
  5300. </assignment>
  5301. <assignment>
  5302. <name>embeddedsw.CMacro.INIT_CONTENTS_FILE</name>
  5303. <value>nios2_uc_onchip_memory2</value>
  5304. </assignment>
  5305. <assignment>
  5306. <name>embeddedsw.CMacro.INIT_MEM_CONTENT</name>
  5307. <value>1</value>
  5308. </assignment>
  5309. <assignment>
  5310. <name>embeddedsw.CMacro.INSTANCE_ID</name>
  5311. <value>NONE</value>
  5312. </assignment>
  5313. <assignment>
  5314. <name>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</name>
  5315. <value>0</value>
  5316. </assignment>
  5317. <assignment>
  5318. <name>embeddedsw.CMacro.RAM_BLOCK_TYPE</name>
  5319. <value>AUTO</value>
  5320. </assignment>
  5321. <assignment>
  5322. <name>embeddedsw.CMacro.READ_DURING_WRITE_MODE</name>
  5323. <value>DONT_CARE</value>
  5324. </assignment>
  5325. <assignment>
  5326. <name>embeddedsw.CMacro.SINGLE_CLOCK_OP</name>
  5327. <value>0</value>
  5328. </assignment>
  5329. <assignment>
  5330. <name>embeddedsw.CMacro.SIZE_MULTIPLE</name>
  5331. <value>1</value>
  5332. </assignment>
  5333. <assignment>
  5334. <name>embeddedsw.CMacro.SIZE_VALUE</name>
  5335. <value>204800</value>
  5336. </assignment>
  5337. <assignment>
  5338. <name>embeddedsw.CMacro.WRITABLE</name>
  5339. <value>1</value>
  5340. </assignment>
  5341. <assignment>
  5342. <name>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</name>
  5343. <value>SIM_DIR</value>
  5344. </assignment>
  5345. <assignment>
  5346. <name>embeddedsw.memoryInfo.GENERATE_DAT_SYM</name>
  5347. <value>1</value>
  5348. </assignment>
  5349. <assignment>
  5350. <name>embeddedsw.memoryInfo.GENERATE_HEX</name>
  5351. <value>1</value>
  5352. </assignment>
  5353. <assignment>
  5354. <name>embeddedsw.memoryInfo.HAS_BYTE_LANE</name>
  5355. <value>0</value>
  5356. </assignment>
  5357. <assignment>
  5358. <name>embeddedsw.memoryInfo.HEX_INSTALL_DIR</name>
  5359. <value>QPF_DIR</value>
  5360. </assignment>
  5361. <assignment>
  5362. <name>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</name>
  5363. <value>32</value>
  5364. </assignment>
  5365. <assignment>
  5366. <name>embeddedsw.memoryInfo.MEM_INIT_FILENAME</name>
  5367. <value>nios2_uc_onchip_memory2</value>
  5368. </assignment>
  5369. <assignment>
  5370. <name>postgeneration.simulation.init_file.param_name</name>
  5371. <value>INIT_FILE</value>
  5372. </assignment>
  5373. <assignment>
  5374. <name>postgeneration.simulation.init_file.type</name>
  5375. <value>MEM_INIT</value>
  5376. </assignment>
  5377. <parameter name="allowInSystemMemoryContentEditor">
  5378. <type>boolean</type>
  5379. <value>false</value>
  5380. <derived>false</derived>
  5381. <enabled>true</enabled>
  5382. <visible>true</visible>
  5383. <valid>true</valid>
  5384. </parameter>
  5385. <parameter name="blockType">
  5386. <type>java.lang.String</type>
  5387. <value>AUTO</value>
  5388. <derived>false</derived>
  5389. <enabled>true</enabled>
  5390. <visible>true</visible>
  5391. <valid>true</valid>
  5392. </parameter>
  5393. <parameter name="dataWidth">
  5394. <type>int</type>
  5395. <value>32</value>
  5396. <derived>false</derived>
  5397. <enabled>true</enabled>
  5398. <visible>true</visible>
  5399. <valid>true</valid>
  5400. </parameter>
  5401. <parameter name="dataWidth2">
  5402. <type>int</type>
  5403. <value>32</value>
  5404. <derived>false</derived>
  5405. <enabled>true</enabled>
  5406. <visible>false</visible>
  5407. <valid>true</valid>
  5408. </parameter>
  5409. <parameter name="dualPort">
  5410. <type>boolean</type>
  5411. <value>false</value>
  5412. <derived>false</derived>
  5413. <enabled>true</enabled>
  5414. <visible>true</visible>
  5415. <valid>true</valid>
  5416. </parameter>
  5417. <parameter name="enableDiffWidth">
  5418. <type>boolean</type>
  5419. <value>false</value>
  5420. <derived>false</derived>
  5421. <enabled>false</enabled>
  5422. <visible>true</visible>
  5423. <valid>true</valid>
  5424. </parameter>
  5425. <parameter name="derived_enableDiffWidth">
  5426. <type>boolean</type>
  5427. <value>false</value>
  5428. <derived>true</derived>
  5429. <enabled>true</enabled>
  5430. <visible>false</visible>
  5431. <valid>true</valid>
  5432. </parameter>
  5433. <parameter name="initMemContent">
  5434. <type>boolean</type>
  5435. <value>true</value>
  5436. <derived>false</derived>
  5437. <enabled>true</enabled>
  5438. <visible>true</visible>
  5439. <valid>true</valid>
  5440. </parameter>
  5441. <parameter name="initializationFileName">
  5442. <type>java.lang.String</type>
  5443. <value>onchip_mem.hex</value>
  5444. <derived>false</derived>
  5445. <enabled>false</enabled>
  5446. <visible>true</visible>
  5447. <valid>true</valid>
  5448. </parameter>
  5449. <parameter name="enPRInitMode">
  5450. <type>boolean</type>
  5451. <value>false</value>
  5452. <derived>false</derived>
  5453. <enabled>true</enabled>
  5454. <visible>true</visible>
  5455. <valid>true</valid>
  5456. </parameter>
  5457. <parameter name="instanceID">
  5458. <type>java.lang.String</type>
  5459. <value>NONE</value>
  5460. <derived>false</derived>
  5461. <enabled>false</enabled>
  5462. <visible>true</visible>
  5463. <valid>true</valid>
  5464. </parameter>
  5465. <parameter name="memorySize">
  5466. <type>long</type>
  5467. <value>204800</value>
  5468. <derived>false</derived>
  5469. <enabled>true</enabled>
  5470. <visible>true</visible>
  5471. <valid>true</valid>
  5472. </parameter>
  5473. <parameter name="readDuringWriteMode">
  5474. <type>java.lang.String</type>
  5475. <value>DONT_CARE</value>
  5476. <derived>false</derived>
  5477. <enabled>false</enabled>
  5478. <visible>true</visible>
  5479. <valid>true</valid>
  5480. </parameter>
  5481. <parameter name="simAllowMRAMContentsFile">
  5482. <type>boolean</type>
  5483. <value>false</value>
  5484. <derived>false</derived>
  5485. <enabled>true</enabled>
  5486. <visible>false</visible>
  5487. <valid>true</valid>
  5488. </parameter>
  5489. <parameter name="simMemInitOnlyFilename">
  5490. <type>int</type>
  5491. <value>0</value>
  5492. <derived>false</derived>
  5493. <enabled>true</enabled>
  5494. <visible>false</visible>
  5495. <valid>true</valid>
  5496. </parameter>
  5497. <parameter name="singleClockOperation">
  5498. <type>boolean</type>
  5499. <value>false</value>
  5500. <derived>false</derived>
  5501. <enabled>false</enabled>
  5502. <visible>true</visible>
  5503. <valid>true</valid>
  5504. </parameter>
  5505. <parameter name="derived_singleClockOperation">
  5506. <type>boolean</type>
  5507. <value>false</value>
  5508. <derived>true</derived>
  5509. <enabled>true</enabled>
  5510. <visible>false</visible>
  5511. <valid>true</valid>
  5512. </parameter>
  5513. <parameter name="slave1Latency">
  5514. <type>int</type>
  5515. <value>1</value>
  5516. <derived>false</derived>
  5517. <enabled>true</enabled>
  5518. <visible>true</visible>
  5519. <valid>true</valid>
  5520. </parameter>
  5521. <parameter name="slave2Latency">
  5522. <type>int</type>
  5523. <value>1</value>
  5524. <derived>false</derived>
  5525. <enabled>false</enabled>
  5526. <visible>true</visible>
  5527. <valid>true</valid>
  5528. </parameter>
  5529. <parameter name="useNonDefaultInitFile">
  5530. <type>boolean</type>
  5531. <value>false</value>
  5532. <derived>false</derived>
  5533. <enabled>true</enabled>
  5534. <visible>true</visible>
  5535. <valid>true</valid>
  5536. </parameter>
  5537. <parameter name="copyInitFile">
  5538. <type>boolean</type>
  5539. <value>false</value>
  5540. <derived>false</derived>
  5541. <enabled>false</enabled>
  5542. <visible>false</visible>
  5543. <valid>true</valid>
  5544. </parameter>
  5545. <parameter name="useShallowMemBlocks">
  5546. <type>boolean</type>
  5547. <value>false</value>
  5548. <derived>false</derived>
  5549. <enabled>false</enabled>
  5550. <visible>true</visible>
  5551. <valid>true</valid>
  5552. </parameter>
  5553. <parameter name="writable">
  5554. <type>boolean</type>
  5555. <value>true</value>
  5556. <derived>false</derived>
  5557. <enabled>true</enabled>
  5558. <visible>true</visible>
  5559. <valid>true</valid>
  5560. </parameter>
  5561. <parameter name="ecc_enabled">
  5562. <type>boolean</type>
  5563. <value>false</value>
  5564. <derived>false</derived>
  5565. <enabled>true</enabled>
  5566. <visible>true</visible>
  5567. <valid>true</valid>
  5568. </parameter>
  5569. <parameter name="resetrequest_enabled">
  5570. <type>boolean</type>
  5571. <value>true</value>
  5572. <derived>false</derived>
  5573. <enabled>true</enabled>
  5574. <visible>true</visible>
  5575. <valid>true</valid>
  5576. </parameter>
  5577. <parameter name="autoInitializationFileName">
  5578. <type>java.lang.String</type>
  5579. <value>nios2_uc_onchip_memory2</value>
  5580. <derived>false</derived>
  5581. <enabled>true</enabled>
  5582. <visible>false</visible>
  5583. <valid>true</valid>
  5584. <sysinfo_type>UNIQUE_ID</sysinfo_type>
  5585. </parameter>
  5586. <parameter name="deviceFamily">
  5587. <type>java.lang.String</type>
  5588. <value>CYCLONEIVE</value>
  5589. <derived>false</derived>
  5590. <enabled>true</enabled>
  5591. <visible>false</visible>
  5592. <valid>true</valid>
  5593. <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  5594. </parameter>
  5595. <parameter name="deviceFeatures">
  5596. <type>java.lang.String</type>
  5597. <value>ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</value>
  5598. <derived>false</derived>
  5599. <enabled>true</enabled>
  5600. <visible>false</visible>
  5601. <valid>true</valid>
  5602. <sysinfo_type>DEVICE_FEATURES</sysinfo_type>
  5603. </parameter>
  5604. <parameter name="derived_set_addr_width">
  5605. <type>int</type>
  5606. <value>16</value>
  5607. <derived>true</derived>
  5608. <enabled>true</enabled>
  5609. <visible>false</visible>
  5610. <valid>true</valid>
  5611. </parameter>
  5612. <parameter name="derived_set_addr_width2">
  5613. <type>int</type>
  5614. <value>16</value>
  5615. <derived>true</derived>
  5616. <enabled>true</enabled>
  5617. <visible>false</visible>
  5618. <valid>true</valid>
  5619. </parameter>
  5620. <parameter name="derived_set_data_width">
  5621. <type>int</type>
  5622. <value>32</value>
  5623. <derived>true</derived>
  5624. <enabled>true</enabled>
  5625. <visible>false</visible>
  5626. <valid>true</valid>
  5627. </parameter>
  5628. <parameter name="derived_set_data_width2">
  5629. <type>int</type>
  5630. <value>32</value>
  5631. <derived>true</derived>
  5632. <enabled>true</enabled>
  5633. <visible>false</visible>
  5634. <valid>true</valid>
  5635. </parameter>
  5636. <parameter name="derived_gui_ram_block_type">
  5637. <type>java.lang.String</type>
  5638. <value>Automatic</value>
  5639. <derived>true</derived>
  5640. <enabled>true</enabled>
  5641. <visible>false</visible>
  5642. <valid>true</valid>
  5643. </parameter>
  5644. <parameter name="derived_is_hardcopy">
  5645. <type>boolean</type>
  5646. <value>false</value>
  5647. <derived>true</derived>
  5648. <enabled>true</enabled>
  5649. <visible>false</visible>
  5650. <valid>true</valid>
  5651. </parameter>
  5652. <parameter name="derived_init_file_name">
  5653. <type>java.lang.String</type>
  5654. <value>nios2_uc_onchip_memory2.hex</value>
  5655. <derived>true</derived>
  5656. <enabled>true</enabled>
  5657. <visible>false</visible>
  5658. <valid>true</valid>
  5659. </parameter>
  5660. <parameter name="generateLegacySim">
  5661. <type>boolean</type>
  5662. <value>false</value>
  5663. <derived>false</derived>
  5664. <enabled>true</enabled>
  5665. <visible>true</visible>
  5666. <valid>true</valid>
  5667. </parameter>
  5668. <interface name="clk1" kind="clock_sink" version="18.1">
  5669. <!-- The connection points exposed by a module instance for the
  5670. particular module parameters. Connection points and their
  5671. parameters are a RESULT of the module parameters. -->
  5672. <parameter name="externallyDriven">
  5673. <type>boolean</type>
  5674. <value>false</value>
  5675. <derived>false</derived>
  5676. <enabled>true</enabled>
  5677. <visible>false</visible>
  5678. <valid>true</valid>
  5679. </parameter>
  5680. <parameter name="ptfSchematicName">
  5681. <type>java.lang.String</type>
  5682. <value></value>
  5683. <derived>false</derived>
  5684. <enabled>true</enabled>
  5685. <visible>false</visible>
  5686. <valid>true</valid>
  5687. </parameter>
  5688. <parameter name="deviceFamily">
  5689. <type>java.lang.String</type>
  5690. <value>UNKNOWN</value>
  5691. <derived>false</derived>
  5692. <enabled>true</enabled>
  5693. <visible>true</visible>
  5694. <valid>true</valid>
  5695. </parameter>
  5696. <parameter name="generateLegacySim">
  5697. <type>boolean</type>
  5698. <value>false</value>
  5699. <derived>false</derived>
  5700. <enabled>true</enabled>
  5701. <visible>true</visible>
  5702. <valid>true</valid>
  5703. </parameter>
  5704. <type>clock</type>
  5705. <isStart>false</isStart>
  5706. <port>
  5707. <name>clk</name>
  5708. <direction>Input</direction>
  5709. <width>1</width>
  5710. <role>clk</role>
  5711. </port>
  5712. </interface>
  5713. <interface name="s1" kind="avalon_slave" version="18.1">
  5714. <!-- The connection points exposed by a module instance for the
  5715. particular module parameters. Connection points and their
  5716. parameters are a RESULT of the module parameters. -->
  5717. <assignment>
  5718. <name>embeddedsw.configuration.isFlash</name>
  5719. <value>0</value>
  5720. </assignment>
  5721. <assignment>
  5722. <name>embeddedsw.configuration.isMemoryDevice</name>
  5723. <value>1</value>
  5724. </assignment>
  5725. <assignment>
  5726. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  5727. <value>0</value>
  5728. </assignment>
  5729. <assignment>
  5730. <name>embeddedsw.configuration.isPrintableDevice</name>
  5731. <value>0</value>
  5732. </assignment>
  5733. <parameter name="addressAlignment">
  5734. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  5735. <value>DYNAMIC</value>
  5736. <derived>false</derived>
  5737. <enabled>true</enabled>
  5738. <visible>true</visible>
  5739. <valid>true</valid>
  5740. </parameter>
  5741. <parameter name="addressGroup">
  5742. <type>int</type>
  5743. <value>0</value>
  5744. <derived>false</derived>
  5745. <enabled>true</enabled>
  5746. <visible>false</visible>
  5747. <valid>true</valid>
  5748. </parameter>
  5749. <parameter name="addressSpan">
  5750. <type>java.math.BigInteger</type>
  5751. <value>204800</value>
  5752. <derived>true</derived>
  5753. <enabled>true</enabled>
  5754. <visible>false</visible>
  5755. <valid>true</valid>
  5756. </parameter>
  5757. <parameter name="addressUnits">
  5758. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  5759. <value>WORDS</value>
  5760. <derived>false</derived>
  5761. <enabled>true</enabled>
  5762. <visible>true</visible>
  5763. <valid>true</valid>
  5764. </parameter>
  5765. <parameter name="alwaysBurstMaxBurst">
  5766. <type>boolean</type>
  5767. <value>false</value>
  5768. <derived>false</derived>
  5769. <enabled>true</enabled>
  5770. <visible>false</visible>
  5771. <valid>true</valid>
  5772. </parameter>
  5773. <parameter name="associatedClock">
  5774. <type>java.lang.String</type>
  5775. <value>clk1</value>
  5776. <derived>false</derived>
  5777. <enabled>true</enabled>
  5778. <visible>true</visible>
  5779. <valid>true</valid>
  5780. </parameter>
  5781. <parameter name="associatedReset">
  5782. <type>java.lang.String</type>
  5783. <value>reset1</value>
  5784. <derived>false</derived>
  5785. <enabled>true</enabled>
  5786. <visible>false</visible>
  5787. <valid>true</valid>
  5788. </parameter>
  5789. <parameter name="bitsPerSymbol">
  5790. <type>int</type>
  5791. <value>8</value>
  5792. <derived>false</derived>
  5793. <enabled>true</enabled>
  5794. <visible>false</visible>
  5795. <valid>true</valid>
  5796. </parameter>
  5797. <parameter name="bridgedAddressOffset">
  5798. <type>java.math.BigInteger</type>
  5799. <value></value>
  5800. <derived>false</derived>
  5801. <enabled>true</enabled>
  5802. <visible>false</visible>
  5803. <valid>true</valid>
  5804. </parameter>
  5805. <parameter name="bridgesToMaster">
  5806. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  5807. <value></value>
  5808. <derived>false</derived>
  5809. <enabled>true</enabled>
  5810. <visible>false</visible>
  5811. <valid>true</valid>
  5812. </parameter>
  5813. <parameter name="burstOnBurstBoundariesOnly">
  5814. <type>boolean</type>
  5815. <value>false</value>
  5816. <derived>false</derived>
  5817. <enabled>true</enabled>
  5818. <visible>true</visible>
  5819. <valid>true</valid>
  5820. </parameter>
  5821. <parameter name="burstcountUnits">
  5822. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  5823. <value>WORDS</value>
  5824. <derived>false</derived>
  5825. <enabled>true</enabled>
  5826. <visible>false</visible>
  5827. <valid>true</valid>
  5828. </parameter>
  5829. <parameter name="constantBurstBehavior">
  5830. <type>boolean</type>
  5831. <value>false</value>
  5832. <derived>false</derived>
  5833. <enabled>true</enabled>
  5834. <visible>false</visible>
  5835. <valid>true</valid>
  5836. </parameter>
  5837. <parameter name="explicitAddressSpan">
  5838. <type>java.math.BigInteger</type>
  5839. <value>204800</value>
  5840. <derived>false</derived>
  5841. <enabled>true</enabled>
  5842. <visible>true</visible>
  5843. <valid>true</valid>
  5844. </parameter>
  5845. <parameter name="holdTime">
  5846. <type>int</type>
  5847. <value>0</value>
  5848. <derived>false</derived>
  5849. <enabled>true</enabled>
  5850. <visible>true</visible>
  5851. <valid>true</valid>
  5852. </parameter>
  5853. <parameter name="interleaveBursts">
  5854. <type>boolean</type>
  5855. <value>false</value>
  5856. <derived>false</derived>
  5857. <enabled>true</enabled>
  5858. <visible>false</visible>
  5859. <valid>true</valid>
  5860. </parameter>
  5861. <parameter name="isBigEndian">
  5862. <type>boolean</type>
  5863. <value>false</value>
  5864. <derived>false</derived>
  5865. <enabled>true</enabled>
  5866. <visible>false</visible>
  5867. <valid>true</valid>
  5868. </parameter>
  5869. <parameter name="isFlash">
  5870. <type>boolean</type>
  5871. <value>false</value>
  5872. <derived>false</derived>
  5873. <enabled>true</enabled>
  5874. <visible>false</visible>
  5875. <valid>true</valid>
  5876. </parameter>
  5877. <parameter name="isMemoryDevice">
  5878. <type>boolean</type>
  5879. <value>true</value>
  5880. <derived>false</derived>
  5881. <enabled>true</enabled>
  5882. <visible>true</visible>
  5883. <valid>true</valid>
  5884. </parameter>
  5885. <parameter name="isNonVolatileStorage">
  5886. <type>boolean</type>
  5887. <value>false</value>
  5888. <derived>false</derived>
  5889. <enabled>true</enabled>
  5890. <visible>true</visible>
  5891. <valid>true</valid>
  5892. </parameter>
  5893. <parameter name="linewrapBursts">
  5894. <type>boolean</type>
  5895. <value>false</value>
  5896. <derived>false</derived>
  5897. <enabled>true</enabled>
  5898. <visible>true</visible>
  5899. <valid>true</valid>
  5900. </parameter>
  5901. <parameter name="maximumPendingReadTransactions">
  5902. <type>int</type>
  5903. <value>0</value>
  5904. <derived>false</derived>
  5905. <enabled>false</enabled>
  5906. <visible>true</visible>
  5907. <valid>true</valid>
  5908. </parameter>
  5909. <parameter name="maximumPendingWriteTransactions">
  5910. <type>int</type>
  5911. <value>0</value>
  5912. <derived>false</derived>
  5913. <enabled>false</enabled>
  5914. <visible>true</visible>
  5915. <valid>true</valid>
  5916. </parameter>
  5917. <parameter name="minimumUninterruptedRunLength">
  5918. <type>int</type>
  5919. <value>1</value>
  5920. <derived>false</derived>
  5921. <enabled>true</enabled>
  5922. <visible>false</visible>
  5923. <valid>true</valid>
  5924. </parameter>
  5925. <parameter name="printableDevice">
  5926. <type>boolean</type>
  5927. <value>false</value>
  5928. <derived>false</derived>
  5929. <enabled>true</enabled>
  5930. <visible>true</visible>
  5931. <valid>true</valid>
  5932. </parameter>
  5933. <parameter name="readLatency">
  5934. <type>int</type>
  5935. <value>1</value>
  5936. <derived>false</derived>
  5937. <enabled>true</enabled>
  5938. <visible>true</visible>
  5939. <valid>true</valid>
  5940. </parameter>
  5941. <parameter name="readWaitStates">
  5942. <type>int</type>
  5943. <value>0</value>
  5944. <derived>false</derived>
  5945. <enabled>true</enabled>
  5946. <visible>false</visible>
  5947. <valid>true</valid>
  5948. </parameter>
  5949. <parameter name="readWaitTime">
  5950. <type>int</type>
  5951. <value>0</value>
  5952. <derived>false</derived>
  5953. <enabled>true</enabled>
  5954. <visible>true</visible>
  5955. <valid>true</valid>
  5956. </parameter>
  5957. <parameter name="registerIncomingSignals">
  5958. <type>boolean</type>
  5959. <value>false</value>
  5960. <derived>false</derived>
  5961. <enabled>true</enabled>
  5962. <visible>false</visible>
  5963. <valid>true</valid>
  5964. </parameter>
  5965. <parameter name="registerOutgoingSignals">
  5966. <type>boolean</type>
  5967. <value>false</value>
  5968. <derived>false</derived>
  5969. <enabled>true</enabled>
  5970. <visible>false</visible>
  5971. <valid>true</valid>
  5972. </parameter>
  5973. <parameter name="setupTime">
  5974. <type>int</type>
  5975. <value>0</value>
  5976. <derived>false</derived>
  5977. <enabled>true</enabled>
  5978. <visible>true</visible>
  5979. <valid>true</valid>
  5980. </parameter>
  5981. <parameter name="timingUnits">
  5982. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  5983. <value>Cycles</value>
  5984. <derived>false</derived>
  5985. <enabled>true</enabled>
  5986. <visible>true</visible>
  5987. <valid>true</valid>
  5988. </parameter>
  5989. <parameter name="transparentBridge">
  5990. <type>boolean</type>
  5991. <value>false</value>
  5992. <derived>false</derived>
  5993. <enabled>true</enabled>
  5994. <visible>false</visible>
  5995. <valid>true</valid>
  5996. </parameter>
  5997. <parameter name="wellBehavedWaitrequest">
  5998. <type>boolean</type>
  5999. <value>false</value>
  6000. <derived>false</derived>
  6001. <enabled>true</enabled>
  6002. <visible>false</visible>
  6003. <valid>true</valid>
  6004. </parameter>
  6005. <parameter name="writeLatency">
  6006. <type>int</type>
  6007. <value>0</value>
  6008. <derived>false</derived>
  6009. <enabled>true</enabled>
  6010. <visible>false</visible>
  6011. <valid>true</valid>
  6012. </parameter>
  6013. <parameter name="writeWaitStates">
  6014. <type>int</type>
  6015. <value>0</value>
  6016. <derived>false</derived>
  6017. <enabled>true</enabled>
  6018. <visible>false</visible>
  6019. <valid>true</valid>
  6020. </parameter>
  6021. <parameter name="writeWaitTime">
  6022. <type>int</type>
  6023. <value>0</value>
  6024. <derived>false</derived>
  6025. <enabled>true</enabled>
  6026. <visible>true</visible>
  6027. <valid>true</valid>
  6028. </parameter>
  6029. <parameter name="deviceFamily">
  6030. <type>java.lang.String</type>
  6031. <value>UNKNOWN</value>
  6032. <derived>false</derived>
  6033. <enabled>true</enabled>
  6034. <visible>true</visible>
  6035. <valid>true</valid>
  6036. </parameter>
  6037. <parameter name="generateLegacySim">
  6038. <type>boolean</type>
  6039. <value>false</value>
  6040. <derived>false</derived>
  6041. <enabled>true</enabled>
  6042. <visible>true</visible>
  6043. <valid>true</valid>
  6044. </parameter>
  6045. <type>avalon</type>
  6046. <isStart>false</isStart>
  6047. <port>
  6048. <name>address</name>
  6049. <direction>Input</direction>
  6050. <width>16</width>
  6051. <role>address</role>
  6052. </port>
  6053. <port>
  6054. <name>clken</name>
  6055. <direction>Input</direction>
  6056. <width>1</width>
  6057. <role>clken</role>
  6058. </port>
  6059. <port>
  6060. <name>chipselect</name>
  6061. <direction>Input</direction>
  6062. <width>1</width>
  6063. <role>chipselect</role>
  6064. </port>
  6065. <port>
  6066. <name>write</name>
  6067. <direction>Input</direction>
  6068. <width>1</width>
  6069. <role>write</role>
  6070. </port>
  6071. <port>
  6072. <name>readdata</name>
  6073. <direction>Output</direction>
  6074. <width>32</width>
  6075. <role>readdata</role>
  6076. </port>
  6077. <port>
  6078. <name>writedata</name>
  6079. <direction>Input</direction>
  6080. <width>32</width>
  6081. <role>writedata</role>
  6082. </port>
  6083. <port>
  6084. <name>byteenable</name>
  6085. <direction>Input</direction>
  6086. <width>4</width>
  6087. <role>byteenable</role>
  6088. </port>
  6089. </interface>
  6090. <interface name="reset1" kind="reset_sink" version="18.1">
  6091. <!-- The connection points exposed by a module instance for the
  6092. particular module parameters. Connection points and their
  6093. parameters are a RESULT of the module parameters. -->
  6094. <parameter name="associatedClock">
  6095. <type>java.lang.String</type>
  6096. <value>clk1</value>
  6097. <derived>false</derived>
  6098. <enabled>true</enabled>
  6099. <visible>true</visible>
  6100. <valid>true</valid>
  6101. </parameter>
  6102. <parameter name="synchronousEdges">
  6103. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  6104. <value>DEASSERT</value>
  6105. <derived>false</derived>
  6106. <enabled>true</enabled>
  6107. <visible>true</visible>
  6108. <valid>true</valid>
  6109. </parameter>
  6110. <parameter name="deviceFamily">
  6111. <type>java.lang.String</type>
  6112. <value>UNKNOWN</value>
  6113. <derived>false</derived>
  6114. <enabled>true</enabled>
  6115. <visible>true</visible>
  6116. <valid>true</valid>
  6117. </parameter>
  6118. <parameter name="generateLegacySim">
  6119. <type>boolean</type>
  6120. <value>false</value>
  6121. <derived>false</derived>
  6122. <enabled>true</enabled>
  6123. <visible>true</visible>
  6124. <valid>true</valid>
  6125. </parameter>
  6126. <type>reset</type>
  6127. <isStart>false</isStart>
  6128. <port>
  6129. <name>reset</name>
  6130. <direction>Input</direction>
  6131. <width>1</width>
  6132. <role>reset</role>
  6133. </port>
  6134. <port>
  6135. <name>reset_req</name>
  6136. <direction>Input</direction>
  6137. <width>1</width>
  6138. <role>reset_req</role>
  6139. </port>
  6140. </interface>
  6141. </module>
  6142. <module
  6143. name="pio_BUTTON"
  6144. kind="altera_avalon_pio"
  6145. version="18.1"
  6146. path="pio_BUTTON">
  6147. <!-- Describes a single module. Module parameters are
  6148. the requested settings for a module instance. -->
  6149. <assignment>
  6150. <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
  6151. <value>0</value>
  6152. </assignment>
  6153. <assignment>
  6154. <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
  6155. <value>0</value>
  6156. </assignment>
  6157. <assignment>
  6158. <name>embeddedsw.CMacro.CAPTURE</name>
  6159. <value>0</value>
  6160. </assignment>
  6161. <assignment>
  6162. <name>embeddedsw.CMacro.DATA_WIDTH</name>
  6163. <value>8</value>
  6164. </assignment>
  6165. <assignment>
  6166. <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
  6167. <value>0</value>
  6168. </assignment>
  6169. <assignment>
  6170. <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
  6171. <value>0</value>
  6172. </assignment>
  6173. <assignment>
  6174. <name>embeddedsw.CMacro.EDGE_TYPE</name>
  6175. <value>NONE</value>
  6176. </assignment>
  6177. <assignment>
  6178. <name>embeddedsw.CMacro.FREQ</name>
  6179. <value>50000000</value>
  6180. </assignment>
  6181. <assignment>
  6182. <name>embeddedsw.CMacro.HAS_IN</name>
  6183. <value>1</value>
  6184. </assignment>
  6185. <assignment>
  6186. <name>embeddedsw.CMacro.HAS_OUT</name>
  6187. <value>0</value>
  6188. </assignment>
  6189. <assignment>
  6190. <name>embeddedsw.CMacro.HAS_TRI</name>
  6191. <value>0</value>
  6192. </assignment>
  6193. <assignment>
  6194. <name>embeddedsw.CMacro.IRQ_TYPE</name>
  6195. <value>NONE</value>
  6196. </assignment>
  6197. <assignment>
  6198. <name>embeddedsw.CMacro.RESET_VALUE</name>
  6199. <value>0</value>
  6200. </assignment>
  6201. <assignment>
  6202. <name>embeddedsw.dts.compatible</name>
  6203. <value>altr,pio-1.0</value>
  6204. </assignment>
  6205. <assignment>
  6206. <name>embeddedsw.dts.group</name>
  6207. <value>gpio</value>
  6208. </assignment>
  6209. <assignment>
  6210. <name>embeddedsw.dts.name</name>
  6211. <value>pio</value>
  6212. </assignment>
  6213. <assignment>
  6214. <name>embeddedsw.dts.params.altr,gpio-bank-width</name>
  6215. <value>8</value>
  6216. </assignment>
  6217. <assignment>
  6218. <name>embeddedsw.dts.params.resetvalue</name>
  6219. <value>0</value>
  6220. </assignment>
  6221. <assignment>
  6222. <name>embeddedsw.dts.vendor</name>
  6223. <value>altr</value>
  6224. </assignment>
  6225. <parameter name="bitClearingEdgeCapReg">
  6226. <type>boolean</type>
  6227. <value>false</value>
  6228. <derived>false</derived>
  6229. <enabled>false</enabled>
  6230. <visible>true</visible>
  6231. <valid>true</valid>
  6232. </parameter>
  6233. <parameter name="bitModifyingOutReg">
  6234. <type>boolean</type>
  6235. <value>false</value>
  6236. <derived>false</derived>
  6237. <enabled>false</enabled>
  6238. <visible>true</visible>
  6239. <valid>true</valid>
  6240. </parameter>
  6241. <parameter name="captureEdge">
  6242. <type>boolean</type>
  6243. <value>false</value>
  6244. <derived>false</derived>
  6245. <enabled>true</enabled>
  6246. <visible>true</visible>
  6247. <valid>true</valid>
  6248. </parameter>
  6249. <parameter name="direction">
  6250. <type>java.lang.String</type>
  6251. <value>Input</value>
  6252. <derived>false</derived>
  6253. <enabled>true</enabled>
  6254. <visible>true</visible>
  6255. <valid>true</valid>
  6256. </parameter>
  6257. <parameter name="edgeType">
  6258. <type>java.lang.String</type>
  6259. <value>RISING</value>
  6260. <derived>false</derived>
  6261. <enabled>false</enabled>
  6262. <visible>true</visible>
  6263. <valid>true</valid>
  6264. </parameter>
  6265. <parameter name="generateIRQ">
  6266. <type>boolean</type>
  6267. <value>false</value>
  6268. <derived>false</derived>
  6269. <enabled>true</enabled>
  6270. <visible>true</visible>
  6271. <valid>true</valid>
  6272. </parameter>
  6273. <parameter name="irqType">
  6274. <type>java.lang.String</type>
  6275. <value>LEVEL</value>
  6276. <derived>false</derived>
  6277. <enabled>false</enabled>
  6278. <visible>true</visible>
  6279. <valid>true</valid>
  6280. </parameter>
  6281. <parameter name="resetValue">
  6282. <type>long</type>
  6283. <value>0</value>
  6284. <derived>false</derived>
  6285. <enabled>false</enabled>
  6286. <visible>true</visible>
  6287. <valid>true</valid>
  6288. </parameter>
  6289. <parameter name="simDoTestBenchWiring">
  6290. <type>boolean</type>
  6291. <value>false</value>
  6292. <derived>false</derived>
  6293. <enabled>true</enabled>
  6294. <visible>true</visible>
  6295. <valid>true</valid>
  6296. </parameter>
  6297. <parameter name="simDrivenValue">
  6298. <type>long</type>
  6299. <value>0</value>
  6300. <derived>false</derived>
  6301. <enabled>false</enabled>
  6302. <visible>true</visible>
  6303. <valid>true</valid>
  6304. </parameter>
  6305. <parameter name="width">
  6306. <type>int</type>
  6307. <value>8</value>
  6308. <derived>false</derived>
  6309. <enabled>true</enabled>
  6310. <visible>true</visible>
  6311. <valid>true</valid>
  6312. </parameter>
  6313. <parameter name="clockRate">
  6314. <type>long</type>
  6315. <value>50000000</value>
  6316. <derived>false</derived>
  6317. <enabled>true</enabled>
  6318. <visible>false</visible>
  6319. <valid>true</valid>
  6320. <sysinfo_type>CLOCK_RATE</sysinfo_type>
  6321. <sysinfo_arg>clk</sysinfo_arg>
  6322. </parameter>
  6323. <parameter name="derived_has_tri">
  6324. <type>boolean</type>
  6325. <value>false</value>
  6326. <derived>true</derived>
  6327. <enabled>true</enabled>
  6328. <visible>false</visible>
  6329. <valid>true</valid>
  6330. </parameter>
  6331. <parameter name="derived_has_out">
  6332. <type>boolean</type>
  6333. <value>false</value>
  6334. <derived>true</derived>
  6335. <enabled>true</enabled>
  6336. <visible>false</visible>
  6337. <valid>true</valid>
  6338. </parameter>
  6339. <parameter name="derived_has_in">
  6340. <type>boolean</type>
  6341. <value>true</value>
  6342. <derived>true</derived>
  6343. <enabled>true</enabled>
  6344. <visible>false</visible>
  6345. <valid>true</valid>
  6346. </parameter>
  6347. <parameter name="derived_do_test_bench_wiring">
  6348. <type>boolean</type>
  6349. <value>false</value>
  6350. <derived>true</derived>
  6351. <enabled>true</enabled>
  6352. <visible>false</visible>
  6353. <valid>true</valid>
  6354. </parameter>
  6355. <parameter name="derived_capture">
  6356. <type>boolean</type>
  6357. <value>false</value>
  6358. <derived>true</derived>
  6359. <enabled>true</enabled>
  6360. <visible>false</visible>
  6361. <valid>true</valid>
  6362. </parameter>
  6363. <parameter name="derived_edge_type">
  6364. <type>java.lang.String</type>
  6365. <value>NONE</value>
  6366. <derived>true</derived>
  6367. <enabled>true</enabled>
  6368. <visible>false</visible>
  6369. <valid>true</valid>
  6370. </parameter>
  6371. <parameter name="derived_irq_type">
  6372. <type>java.lang.String</type>
  6373. <value>NONE</value>
  6374. <derived>true</derived>
  6375. <enabled>true</enabled>
  6376. <visible>false</visible>
  6377. <valid>true</valid>
  6378. </parameter>
  6379. <parameter name="derived_has_irq">
  6380. <type>boolean</type>
  6381. <value>false</value>
  6382. <derived>true</derived>
  6383. <enabled>true</enabled>
  6384. <visible>false</visible>
  6385. <valid>true</valid>
  6386. </parameter>
  6387. <parameter name="deviceFamily">
  6388. <type>java.lang.String</type>
  6389. <value>UNKNOWN</value>
  6390. <derived>false</derived>
  6391. <enabled>true</enabled>
  6392. <visible>true</visible>
  6393. <valid>true</valid>
  6394. </parameter>
  6395. <parameter name="generateLegacySim">
  6396. <type>boolean</type>
  6397. <value>false</value>
  6398. <derived>false</derived>
  6399. <enabled>true</enabled>
  6400. <visible>true</visible>
  6401. <valid>true</valid>
  6402. </parameter>
  6403. <interface name="clk" kind="clock_sink" version="18.1">
  6404. <!-- The connection points exposed by a module instance for the
  6405. particular module parameters. Connection points and their
  6406. parameters are a RESULT of the module parameters. -->
  6407. <parameter name="externallyDriven">
  6408. <type>boolean</type>
  6409. <value>false</value>
  6410. <derived>false</derived>
  6411. <enabled>true</enabled>
  6412. <visible>false</visible>
  6413. <valid>true</valid>
  6414. </parameter>
  6415. <parameter name="ptfSchematicName">
  6416. <type>java.lang.String</type>
  6417. <value></value>
  6418. <derived>false</derived>
  6419. <enabled>true</enabled>
  6420. <visible>false</visible>
  6421. <valid>true</valid>
  6422. </parameter>
  6423. <parameter name="deviceFamily">
  6424. <type>java.lang.String</type>
  6425. <value>UNKNOWN</value>
  6426. <derived>false</derived>
  6427. <enabled>true</enabled>
  6428. <visible>true</visible>
  6429. <valid>true</valid>
  6430. </parameter>
  6431. <parameter name="generateLegacySim">
  6432. <type>boolean</type>
  6433. <value>false</value>
  6434. <derived>false</derived>
  6435. <enabled>true</enabled>
  6436. <visible>true</visible>
  6437. <valid>true</valid>
  6438. </parameter>
  6439. <parameter name="clockRateKnown">
  6440. <type>java.lang.Boolean</type>
  6441. <value>true</value>
  6442. <derived>true</derived>
  6443. <enabled>true</enabled>
  6444. <visible>false</visible>
  6445. <valid>true</valid>
  6446. </parameter>
  6447. <parameter name="clockRate">
  6448. <type>java.lang.Long</type>
  6449. <value>50000000</value>
  6450. <derived>true</derived>
  6451. <enabled>true</enabled>
  6452. <visible>false</visible>
  6453. <valid>true</valid>
  6454. </parameter>
  6455. <type>clock</type>
  6456. <isStart>false</isStart>
  6457. <port>
  6458. <name>clk</name>
  6459. <direction>Input</direction>
  6460. <width>1</width>
  6461. <role>clk</role>
  6462. </port>
  6463. </interface>
  6464. <interface name="reset" kind="reset_sink" version="18.1">
  6465. <!-- The connection points exposed by a module instance for the
  6466. particular module parameters. Connection points and their
  6467. parameters are a RESULT of the module parameters. -->
  6468. <parameter name="associatedClock">
  6469. <type>java.lang.String</type>
  6470. <value>clk</value>
  6471. <derived>false</derived>
  6472. <enabled>true</enabled>
  6473. <visible>true</visible>
  6474. <valid>true</valid>
  6475. </parameter>
  6476. <parameter name="synchronousEdges">
  6477. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  6478. <value>DEASSERT</value>
  6479. <derived>false</derived>
  6480. <enabled>true</enabled>
  6481. <visible>true</visible>
  6482. <valid>true</valid>
  6483. </parameter>
  6484. <parameter name="deviceFamily">
  6485. <type>java.lang.String</type>
  6486. <value>UNKNOWN</value>
  6487. <derived>false</derived>
  6488. <enabled>true</enabled>
  6489. <visible>true</visible>
  6490. <valid>true</valid>
  6491. </parameter>
  6492. <parameter name="generateLegacySim">
  6493. <type>boolean</type>
  6494. <value>false</value>
  6495. <derived>false</derived>
  6496. <enabled>true</enabled>
  6497. <visible>true</visible>
  6498. <valid>true</valid>
  6499. </parameter>
  6500. <type>reset</type>
  6501. <isStart>false</isStart>
  6502. <port>
  6503. <name>reset_n</name>
  6504. <direction>Input</direction>
  6505. <width>1</width>
  6506. <role>reset_n</role>
  6507. </port>
  6508. </interface>
  6509. <interface name="s1" kind="avalon_slave" version="18.1">
  6510. <!-- The connection points exposed by a module instance for the
  6511. particular module parameters. Connection points and their
  6512. parameters are a RESULT of the module parameters. -->
  6513. <assignment>
  6514. <name>embeddedsw.configuration.isFlash</name>
  6515. <value>0</value>
  6516. </assignment>
  6517. <assignment>
  6518. <name>embeddedsw.configuration.isMemoryDevice</name>
  6519. <value>0</value>
  6520. </assignment>
  6521. <assignment>
  6522. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  6523. <value>0</value>
  6524. </assignment>
  6525. <assignment>
  6526. <name>embeddedsw.configuration.isPrintableDevice</name>
  6527. <value>0</value>
  6528. </assignment>
  6529. <parameter name="addressAlignment">
  6530. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  6531. <value>NATIVE</value>
  6532. <derived>false</derived>
  6533. <enabled>true</enabled>
  6534. <visible>true</visible>
  6535. <valid>true</valid>
  6536. </parameter>
  6537. <parameter name="addressGroup">
  6538. <type>int</type>
  6539. <value>0</value>
  6540. <derived>false</derived>
  6541. <enabled>true</enabled>
  6542. <visible>false</visible>
  6543. <valid>true</valid>
  6544. </parameter>
  6545. <parameter name="addressSpan">
  6546. <type>java.math.BigInteger</type>
  6547. <value>4</value>
  6548. <derived>true</derived>
  6549. <enabled>true</enabled>
  6550. <visible>false</visible>
  6551. <valid>true</valid>
  6552. </parameter>
  6553. <parameter name="addressUnits">
  6554. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  6555. <value>WORDS</value>
  6556. <derived>false</derived>
  6557. <enabled>true</enabled>
  6558. <visible>true</visible>
  6559. <valid>true</valid>
  6560. </parameter>
  6561. <parameter name="alwaysBurstMaxBurst">
  6562. <type>boolean</type>
  6563. <value>false</value>
  6564. <derived>false</derived>
  6565. <enabled>true</enabled>
  6566. <visible>false</visible>
  6567. <valid>true</valid>
  6568. </parameter>
  6569. <parameter name="associatedClock">
  6570. <type>java.lang.String</type>
  6571. <value>clk</value>
  6572. <derived>false</derived>
  6573. <enabled>true</enabled>
  6574. <visible>true</visible>
  6575. <valid>true</valid>
  6576. </parameter>
  6577. <parameter name="associatedReset">
  6578. <type>java.lang.String</type>
  6579. <value>reset</value>
  6580. <derived>false</derived>
  6581. <enabled>true</enabled>
  6582. <visible>false</visible>
  6583. <valid>true</valid>
  6584. </parameter>
  6585. <parameter name="bitsPerSymbol">
  6586. <type>int</type>
  6587. <value>8</value>
  6588. <derived>false</derived>
  6589. <enabled>true</enabled>
  6590. <visible>false</visible>
  6591. <valid>true</valid>
  6592. </parameter>
  6593. <parameter name="bridgedAddressOffset">
  6594. <type>java.math.BigInteger</type>
  6595. <value></value>
  6596. <derived>false</derived>
  6597. <enabled>true</enabled>
  6598. <visible>false</visible>
  6599. <valid>true</valid>
  6600. </parameter>
  6601. <parameter name="bridgesToMaster">
  6602. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  6603. <value></value>
  6604. <derived>false</derived>
  6605. <enabled>true</enabled>
  6606. <visible>false</visible>
  6607. <valid>true</valid>
  6608. </parameter>
  6609. <parameter name="burstOnBurstBoundariesOnly">
  6610. <type>boolean</type>
  6611. <value>false</value>
  6612. <derived>false</derived>
  6613. <enabled>true</enabled>
  6614. <visible>true</visible>
  6615. <valid>true</valid>
  6616. </parameter>
  6617. <parameter name="burstcountUnits">
  6618. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  6619. <value>WORDS</value>
  6620. <derived>false</derived>
  6621. <enabled>true</enabled>
  6622. <visible>false</visible>
  6623. <valid>true</valid>
  6624. </parameter>
  6625. <parameter name="constantBurstBehavior">
  6626. <type>boolean</type>
  6627. <value>false</value>
  6628. <derived>false</derived>
  6629. <enabled>true</enabled>
  6630. <visible>false</visible>
  6631. <valid>true</valid>
  6632. </parameter>
  6633. <parameter name="explicitAddressSpan">
  6634. <type>java.math.BigInteger</type>
  6635. <value>0</value>
  6636. <derived>false</derived>
  6637. <enabled>true</enabled>
  6638. <visible>true</visible>
  6639. <valid>true</valid>
  6640. </parameter>
  6641. <parameter name="holdTime">
  6642. <type>int</type>
  6643. <value>0</value>
  6644. <derived>false</derived>
  6645. <enabled>true</enabled>
  6646. <visible>true</visible>
  6647. <valid>true</valid>
  6648. </parameter>
  6649. <parameter name="interleaveBursts">
  6650. <type>boolean</type>
  6651. <value>false</value>
  6652. <derived>false</derived>
  6653. <enabled>true</enabled>
  6654. <visible>false</visible>
  6655. <valid>true</valid>
  6656. </parameter>
  6657. <parameter name="isBigEndian">
  6658. <type>boolean</type>
  6659. <value>false</value>
  6660. <derived>false</derived>
  6661. <enabled>true</enabled>
  6662. <visible>false</visible>
  6663. <valid>true</valid>
  6664. </parameter>
  6665. <parameter name="isFlash">
  6666. <type>boolean</type>
  6667. <value>false</value>
  6668. <derived>false</derived>
  6669. <enabled>true</enabled>
  6670. <visible>false</visible>
  6671. <valid>true</valid>
  6672. </parameter>
  6673. <parameter name="isMemoryDevice">
  6674. <type>boolean</type>
  6675. <value>false</value>
  6676. <derived>false</derived>
  6677. <enabled>true</enabled>
  6678. <visible>true</visible>
  6679. <valid>true</valid>
  6680. </parameter>
  6681. <parameter name="isNonVolatileStorage">
  6682. <type>boolean</type>
  6683. <value>false</value>
  6684. <derived>false</derived>
  6685. <enabled>true</enabled>
  6686. <visible>true</visible>
  6687. <valid>true</valid>
  6688. </parameter>
  6689. <parameter name="linewrapBursts">
  6690. <type>boolean</type>
  6691. <value>false</value>
  6692. <derived>false</derived>
  6693. <enabled>true</enabled>
  6694. <visible>true</visible>
  6695. <valid>true</valid>
  6696. </parameter>
  6697. <parameter name="maximumPendingReadTransactions">
  6698. <type>int</type>
  6699. <value>0</value>
  6700. <derived>false</derived>
  6701. <enabled>false</enabled>
  6702. <visible>true</visible>
  6703. <valid>true</valid>
  6704. </parameter>
  6705. <parameter name="maximumPendingWriteTransactions">
  6706. <type>int</type>
  6707. <value>0</value>
  6708. <derived>false</derived>
  6709. <enabled>false</enabled>
  6710. <visible>true</visible>
  6711. <valid>true</valid>
  6712. </parameter>
  6713. <parameter name="minimumUninterruptedRunLength">
  6714. <type>int</type>
  6715. <value>1</value>
  6716. <derived>false</derived>
  6717. <enabled>true</enabled>
  6718. <visible>false</visible>
  6719. <valid>true</valid>
  6720. </parameter>
  6721. <parameter name="printableDevice">
  6722. <type>boolean</type>
  6723. <value>false</value>
  6724. <derived>false</derived>
  6725. <enabled>true</enabled>
  6726. <visible>true</visible>
  6727. <valid>true</valid>
  6728. </parameter>
  6729. <parameter name="readLatency">
  6730. <type>int</type>
  6731. <value>0</value>
  6732. <derived>false</derived>
  6733. <enabled>true</enabled>
  6734. <visible>true</visible>
  6735. <valid>true</valid>
  6736. </parameter>
  6737. <parameter name="readWaitStates">
  6738. <type>int</type>
  6739. <value>1</value>
  6740. <derived>false</derived>
  6741. <enabled>true</enabled>
  6742. <visible>false</visible>
  6743. <valid>true</valid>
  6744. </parameter>
  6745. <parameter name="readWaitTime">
  6746. <type>int</type>
  6747. <value>1</value>
  6748. <derived>false</derived>
  6749. <enabled>true</enabled>
  6750. <visible>true</visible>
  6751. <valid>true</valid>
  6752. </parameter>
  6753. <parameter name="registerIncomingSignals">
  6754. <type>boolean</type>
  6755. <value>false</value>
  6756. <derived>false</derived>
  6757. <enabled>true</enabled>
  6758. <visible>false</visible>
  6759. <valid>true</valid>
  6760. </parameter>
  6761. <parameter name="registerOutgoingSignals">
  6762. <type>boolean</type>
  6763. <value>false</value>
  6764. <derived>false</derived>
  6765. <enabled>true</enabled>
  6766. <visible>false</visible>
  6767. <valid>true</valid>
  6768. </parameter>
  6769. <parameter name="setupTime">
  6770. <type>int</type>
  6771. <value>0</value>
  6772. <derived>false</derived>
  6773. <enabled>true</enabled>
  6774. <visible>true</visible>
  6775. <valid>true</valid>
  6776. </parameter>
  6777. <parameter name="timingUnits">
  6778. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  6779. <value>Cycles</value>
  6780. <derived>false</derived>
  6781. <enabled>true</enabled>
  6782. <visible>true</visible>
  6783. <valid>true</valid>
  6784. </parameter>
  6785. <parameter name="transparentBridge">
  6786. <type>boolean</type>
  6787. <value>false</value>
  6788. <derived>false</derived>
  6789. <enabled>true</enabled>
  6790. <visible>false</visible>
  6791. <valid>true</valid>
  6792. </parameter>
  6793. <parameter name="wellBehavedWaitrequest">
  6794. <type>boolean</type>
  6795. <value>false</value>
  6796. <derived>false</derived>
  6797. <enabled>true</enabled>
  6798. <visible>false</visible>
  6799. <valid>true</valid>
  6800. </parameter>
  6801. <parameter name="writeLatency">
  6802. <type>int</type>
  6803. <value>0</value>
  6804. <derived>false</derived>
  6805. <enabled>true</enabled>
  6806. <visible>false</visible>
  6807. <valid>true</valid>
  6808. </parameter>
  6809. <parameter name="writeWaitStates">
  6810. <type>int</type>
  6811. <value>0</value>
  6812. <derived>false</derived>
  6813. <enabled>true</enabled>
  6814. <visible>false</visible>
  6815. <valid>true</valid>
  6816. </parameter>
  6817. <parameter name="writeWaitTime">
  6818. <type>int</type>
  6819. <value>0</value>
  6820. <derived>false</derived>
  6821. <enabled>true</enabled>
  6822. <visible>true</visible>
  6823. <valid>true</valid>
  6824. </parameter>
  6825. <parameter name="deviceFamily">
  6826. <type>java.lang.String</type>
  6827. <value>UNKNOWN</value>
  6828. <derived>false</derived>
  6829. <enabled>true</enabled>
  6830. <visible>true</visible>
  6831. <valid>true</valid>
  6832. </parameter>
  6833. <parameter name="generateLegacySim">
  6834. <type>boolean</type>
  6835. <value>false</value>
  6836. <derived>false</derived>
  6837. <enabled>true</enabled>
  6838. <visible>true</visible>
  6839. <valid>true</valid>
  6840. </parameter>
  6841. <type>avalon</type>
  6842. <isStart>false</isStart>
  6843. <port>
  6844. <name>address</name>
  6845. <direction>Input</direction>
  6846. <width>2</width>
  6847. <role>address</role>
  6848. </port>
  6849. <port>
  6850. <name>readdata</name>
  6851. <direction>Output</direction>
  6852. <width>32</width>
  6853. <role>readdata</role>
  6854. </port>
  6855. </interface>
  6856. <interface name="external_connection" kind="conduit_end" version="18.1">
  6857. <!-- The connection points exposed by a module instance for the
  6858. particular module parameters. Connection points and their
  6859. parameters are a RESULT of the module parameters. -->
  6860. <parameter name="associatedClock">
  6861. <type>java.lang.String</type>
  6862. <value></value>
  6863. <derived>false</derived>
  6864. <enabled>true</enabled>
  6865. <visible>true</visible>
  6866. <valid>true</valid>
  6867. </parameter>
  6868. <parameter name="associatedReset">
  6869. <type>java.lang.String</type>
  6870. <value></value>
  6871. <derived>false</derived>
  6872. <enabled>true</enabled>
  6873. <visible>true</visible>
  6874. <valid>true</valid>
  6875. </parameter>
  6876. <parameter name="deviceFamily">
  6877. <type>java.lang.String</type>
  6878. <value>UNKNOWN</value>
  6879. <derived>false</derived>
  6880. <enabled>true</enabled>
  6881. <visible>true</visible>
  6882. <valid>true</valid>
  6883. </parameter>
  6884. <parameter name="generateLegacySim">
  6885. <type>boolean</type>
  6886. <value>false</value>
  6887. <derived>false</derived>
  6888. <enabled>true</enabled>
  6889. <visible>true</visible>
  6890. <valid>true</valid>
  6891. </parameter>
  6892. <type>conduit</type>
  6893. <isStart>false</isStart>
  6894. <port>
  6895. <name>in_port</name>
  6896. <direction>Input</direction>
  6897. <width>8</width>
  6898. <role>export</role>
  6899. </port>
  6900. </interface>
  6901. </module>
  6902. <module name="pio_LED" kind="altera_avalon_pio" version="18.1" path="pio_LED">
  6903. <!-- Describes a single module. Module parameters are
  6904. the requested settings for a module instance. -->
  6905. <assignment>
  6906. <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
  6907. <value>0</value>
  6908. </assignment>
  6909. <assignment>
  6910. <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
  6911. <value>0</value>
  6912. </assignment>
  6913. <assignment>
  6914. <name>embeddedsw.CMacro.CAPTURE</name>
  6915. <value>0</value>
  6916. </assignment>
  6917. <assignment>
  6918. <name>embeddedsw.CMacro.DATA_WIDTH</name>
  6919. <value>32</value>
  6920. </assignment>
  6921. <assignment>
  6922. <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
  6923. <value>0</value>
  6924. </assignment>
  6925. <assignment>
  6926. <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
  6927. <value>0</value>
  6928. </assignment>
  6929. <assignment>
  6930. <name>embeddedsw.CMacro.EDGE_TYPE</name>
  6931. <value>NONE</value>
  6932. </assignment>
  6933. <assignment>
  6934. <name>embeddedsw.CMacro.FREQ</name>
  6935. <value>50000000</value>
  6936. </assignment>
  6937. <assignment>
  6938. <name>embeddedsw.CMacro.HAS_IN</name>
  6939. <value>0</value>
  6940. </assignment>
  6941. <assignment>
  6942. <name>embeddedsw.CMacro.HAS_OUT</name>
  6943. <value>1</value>
  6944. </assignment>
  6945. <assignment>
  6946. <name>embeddedsw.CMacro.HAS_TRI</name>
  6947. <value>0</value>
  6948. </assignment>
  6949. <assignment>
  6950. <name>embeddedsw.CMacro.IRQ_TYPE</name>
  6951. <value>NONE</value>
  6952. </assignment>
  6953. <assignment>
  6954. <name>embeddedsw.CMacro.RESET_VALUE</name>
  6955. <value>0</value>
  6956. </assignment>
  6957. <assignment>
  6958. <name>embeddedsw.dts.compatible</name>
  6959. <value>altr,pio-1.0</value>
  6960. </assignment>
  6961. <assignment>
  6962. <name>embeddedsw.dts.group</name>
  6963. <value>gpio</value>
  6964. </assignment>
  6965. <assignment>
  6966. <name>embeddedsw.dts.name</name>
  6967. <value>pio</value>
  6968. </assignment>
  6969. <assignment>
  6970. <name>embeddedsw.dts.params.altr,gpio-bank-width</name>
  6971. <value>32</value>
  6972. </assignment>
  6973. <assignment>
  6974. <name>embeddedsw.dts.params.resetvalue</name>
  6975. <value>0</value>
  6976. </assignment>
  6977. <assignment>
  6978. <name>embeddedsw.dts.vendor</name>
  6979. <value>altr</value>
  6980. </assignment>
  6981. <parameter name="bitClearingEdgeCapReg">
  6982. <type>boolean</type>
  6983. <value>false</value>
  6984. <derived>false</derived>
  6985. <enabled>false</enabled>
  6986. <visible>true</visible>
  6987. <valid>true</valid>
  6988. </parameter>
  6989. <parameter name="bitModifyingOutReg">
  6990. <type>boolean</type>
  6991. <value>false</value>
  6992. <derived>false</derived>
  6993. <enabled>true</enabled>
  6994. <visible>true</visible>
  6995. <valid>true</valid>
  6996. </parameter>
  6997. <parameter name="captureEdge">
  6998. <type>boolean</type>
  6999. <value>false</value>
  7000. <derived>false</derived>
  7001. <enabled>false</enabled>
  7002. <visible>true</visible>
  7003. <valid>true</valid>
  7004. </parameter>
  7005. <parameter name="direction">
  7006. <type>java.lang.String</type>
  7007. <value>Output</value>
  7008. <derived>false</derived>
  7009. <enabled>true</enabled>
  7010. <visible>true</visible>
  7011. <valid>true</valid>
  7012. </parameter>
  7013. <parameter name="edgeType">
  7014. <type>java.lang.String</type>
  7015. <value>RISING</value>
  7016. <derived>false</derived>
  7017. <enabled>false</enabled>
  7018. <visible>true</visible>
  7019. <valid>true</valid>
  7020. </parameter>
  7021. <parameter name="generateIRQ">
  7022. <type>boolean</type>
  7023. <value>false</value>
  7024. <derived>false</derived>
  7025. <enabled>false</enabled>
  7026. <visible>true</visible>
  7027. <valid>true</valid>
  7028. </parameter>
  7029. <parameter name="irqType">
  7030. <type>java.lang.String</type>
  7031. <value>LEVEL</value>
  7032. <derived>false</derived>
  7033. <enabled>false</enabled>
  7034. <visible>true</visible>
  7035. <valid>true</valid>
  7036. </parameter>
  7037. <parameter name="resetValue">
  7038. <type>long</type>
  7039. <value>0</value>
  7040. <derived>false</derived>
  7041. <enabled>true</enabled>
  7042. <visible>true</visible>
  7043. <valid>true</valid>
  7044. </parameter>
  7045. <parameter name="simDoTestBenchWiring">
  7046. <type>boolean</type>
  7047. <value>false</value>
  7048. <derived>false</derived>
  7049. <enabled>false</enabled>
  7050. <visible>true</visible>
  7051. <valid>true</valid>
  7052. </parameter>
  7053. <parameter name="simDrivenValue">
  7054. <type>long</type>
  7055. <value>0</value>
  7056. <derived>false</derived>
  7057. <enabled>false</enabled>
  7058. <visible>true</visible>
  7059. <valid>true</valid>
  7060. </parameter>
  7061. <parameter name="width">
  7062. <type>int</type>
  7063. <value>32</value>
  7064. <derived>false</derived>
  7065. <enabled>true</enabled>
  7066. <visible>true</visible>
  7067. <valid>true</valid>
  7068. </parameter>
  7069. <parameter name="clockRate">
  7070. <type>long</type>
  7071. <value>50000000</value>
  7072. <derived>false</derived>
  7073. <enabled>true</enabled>
  7074. <visible>false</visible>
  7075. <valid>true</valid>
  7076. <sysinfo_type>CLOCK_RATE</sysinfo_type>
  7077. <sysinfo_arg>clk</sysinfo_arg>
  7078. </parameter>
  7079. <parameter name="derived_has_tri">
  7080. <type>boolean</type>
  7081. <value>false</value>
  7082. <derived>true</derived>
  7083. <enabled>true</enabled>
  7084. <visible>false</visible>
  7085. <valid>true</valid>
  7086. </parameter>
  7087. <parameter name="derived_has_out">
  7088. <type>boolean</type>
  7089. <value>true</value>
  7090. <derived>true</derived>
  7091. <enabled>true</enabled>
  7092. <visible>false</visible>
  7093. <valid>true</valid>
  7094. </parameter>
  7095. <parameter name="derived_has_in">
  7096. <type>boolean</type>
  7097. <value>false</value>
  7098. <derived>true</derived>
  7099. <enabled>true</enabled>
  7100. <visible>false</visible>
  7101. <valid>true</valid>
  7102. </parameter>
  7103. <parameter name="derived_do_test_bench_wiring">
  7104. <type>boolean</type>
  7105. <value>false</value>
  7106. <derived>true</derived>
  7107. <enabled>true</enabled>
  7108. <visible>false</visible>
  7109. <valid>true</valid>
  7110. </parameter>
  7111. <parameter name="derived_capture">
  7112. <type>boolean</type>
  7113. <value>false</value>
  7114. <derived>true</derived>
  7115. <enabled>true</enabled>
  7116. <visible>false</visible>
  7117. <valid>true</valid>
  7118. </parameter>
  7119. <parameter name="derived_edge_type">
  7120. <type>java.lang.String</type>
  7121. <value>NONE</value>
  7122. <derived>true</derived>
  7123. <enabled>true</enabled>
  7124. <visible>false</visible>
  7125. <valid>true</valid>
  7126. </parameter>
  7127. <parameter name="derived_irq_type">
  7128. <type>java.lang.String</type>
  7129. <value>NONE</value>
  7130. <derived>true</derived>
  7131. <enabled>true</enabled>
  7132. <visible>false</visible>
  7133. <valid>true</valid>
  7134. </parameter>
  7135. <parameter name="derived_has_irq">
  7136. <type>boolean</type>
  7137. <value>false</value>
  7138. <derived>true</derived>
  7139. <enabled>true</enabled>
  7140. <visible>false</visible>
  7141. <valid>true</valid>
  7142. </parameter>
  7143. <parameter name="deviceFamily">
  7144. <type>java.lang.String</type>
  7145. <value>UNKNOWN</value>
  7146. <derived>false</derived>
  7147. <enabled>true</enabled>
  7148. <visible>true</visible>
  7149. <valid>true</valid>
  7150. </parameter>
  7151. <parameter name="generateLegacySim">
  7152. <type>boolean</type>
  7153. <value>false</value>
  7154. <derived>false</derived>
  7155. <enabled>true</enabled>
  7156. <visible>true</visible>
  7157. <valid>true</valid>
  7158. </parameter>
  7159. <interface name="clk" kind="clock_sink" version="18.1">
  7160. <!-- The connection points exposed by a module instance for the
  7161. particular module parameters. Connection points and their
  7162. parameters are a RESULT of the module parameters. -->
  7163. <parameter name="externallyDriven">
  7164. <type>boolean</type>
  7165. <value>false</value>
  7166. <derived>false</derived>
  7167. <enabled>true</enabled>
  7168. <visible>false</visible>
  7169. <valid>true</valid>
  7170. </parameter>
  7171. <parameter name="ptfSchematicName">
  7172. <type>java.lang.String</type>
  7173. <value></value>
  7174. <derived>false</derived>
  7175. <enabled>true</enabled>
  7176. <visible>false</visible>
  7177. <valid>true</valid>
  7178. </parameter>
  7179. <parameter name="deviceFamily">
  7180. <type>java.lang.String</type>
  7181. <value>UNKNOWN</value>
  7182. <derived>false</derived>
  7183. <enabled>true</enabled>
  7184. <visible>true</visible>
  7185. <valid>true</valid>
  7186. </parameter>
  7187. <parameter name="generateLegacySim">
  7188. <type>boolean</type>
  7189. <value>false</value>
  7190. <derived>false</derived>
  7191. <enabled>true</enabled>
  7192. <visible>true</visible>
  7193. <valid>true</valid>
  7194. </parameter>
  7195. <parameter name="clockRateKnown">
  7196. <type>java.lang.Boolean</type>
  7197. <value>true</value>
  7198. <derived>true</derived>
  7199. <enabled>true</enabled>
  7200. <visible>false</visible>
  7201. <valid>true</valid>
  7202. </parameter>
  7203. <parameter name="clockRate">
  7204. <type>java.lang.Long</type>
  7205. <value>50000000</value>
  7206. <derived>true</derived>
  7207. <enabled>true</enabled>
  7208. <visible>false</visible>
  7209. <valid>true</valid>
  7210. </parameter>
  7211. <type>clock</type>
  7212. <isStart>false</isStart>
  7213. <port>
  7214. <name>clk</name>
  7215. <direction>Input</direction>
  7216. <width>1</width>
  7217. <role>clk</role>
  7218. </port>
  7219. </interface>
  7220. <interface name="reset" kind="reset_sink" version="18.1">
  7221. <!-- The connection points exposed by a module instance for the
  7222. particular module parameters. Connection points and their
  7223. parameters are a RESULT of the module parameters. -->
  7224. <parameter name="associatedClock">
  7225. <type>java.lang.String</type>
  7226. <value>clk</value>
  7227. <derived>false</derived>
  7228. <enabled>true</enabled>
  7229. <visible>true</visible>
  7230. <valid>true</valid>
  7231. </parameter>
  7232. <parameter name="synchronousEdges">
  7233. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  7234. <value>DEASSERT</value>
  7235. <derived>false</derived>
  7236. <enabled>true</enabled>
  7237. <visible>true</visible>
  7238. <valid>true</valid>
  7239. </parameter>
  7240. <parameter name="deviceFamily">
  7241. <type>java.lang.String</type>
  7242. <value>UNKNOWN</value>
  7243. <derived>false</derived>
  7244. <enabled>true</enabled>
  7245. <visible>true</visible>
  7246. <valid>true</valid>
  7247. </parameter>
  7248. <parameter name="generateLegacySim">
  7249. <type>boolean</type>
  7250. <value>false</value>
  7251. <derived>false</derived>
  7252. <enabled>true</enabled>
  7253. <visible>true</visible>
  7254. <valid>true</valid>
  7255. </parameter>
  7256. <type>reset</type>
  7257. <isStart>false</isStart>
  7258. <port>
  7259. <name>reset_n</name>
  7260. <direction>Input</direction>
  7261. <width>1</width>
  7262. <role>reset_n</role>
  7263. </port>
  7264. </interface>
  7265. <interface name="s1" kind="avalon_slave" version="18.1">
  7266. <!-- The connection points exposed by a module instance for the
  7267. particular module parameters. Connection points and their
  7268. parameters are a RESULT of the module parameters. -->
  7269. <assignment>
  7270. <name>embeddedsw.configuration.isFlash</name>
  7271. <value>0</value>
  7272. </assignment>
  7273. <assignment>
  7274. <name>embeddedsw.configuration.isMemoryDevice</name>
  7275. <value>0</value>
  7276. </assignment>
  7277. <assignment>
  7278. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  7279. <value>0</value>
  7280. </assignment>
  7281. <assignment>
  7282. <name>embeddedsw.configuration.isPrintableDevice</name>
  7283. <value>0</value>
  7284. </assignment>
  7285. <parameter name="addressAlignment">
  7286. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  7287. <value>NATIVE</value>
  7288. <derived>false</derived>
  7289. <enabled>true</enabled>
  7290. <visible>true</visible>
  7291. <valid>true</valid>
  7292. </parameter>
  7293. <parameter name="addressGroup">
  7294. <type>int</type>
  7295. <value>0</value>
  7296. <derived>false</derived>
  7297. <enabled>true</enabled>
  7298. <visible>false</visible>
  7299. <valid>true</valid>
  7300. </parameter>
  7301. <parameter name="addressSpan">
  7302. <type>java.math.BigInteger</type>
  7303. <value>4</value>
  7304. <derived>true</derived>
  7305. <enabled>true</enabled>
  7306. <visible>false</visible>
  7307. <valid>true</valid>
  7308. </parameter>
  7309. <parameter name="addressUnits">
  7310. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  7311. <value>WORDS</value>
  7312. <derived>false</derived>
  7313. <enabled>true</enabled>
  7314. <visible>true</visible>
  7315. <valid>true</valid>
  7316. </parameter>
  7317. <parameter name="alwaysBurstMaxBurst">
  7318. <type>boolean</type>
  7319. <value>false</value>
  7320. <derived>false</derived>
  7321. <enabled>true</enabled>
  7322. <visible>false</visible>
  7323. <valid>true</valid>
  7324. </parameter>
  7325. <parameter name="associatedClock">
  7326. <type>java.lang.String</type>
  7327. <value>clk</value>
  7328. <derived>false</derived>
  7329. <enabled>true</enabled>
  7330. <visible>true</visible>
  7331. <valid>true</valid>
  7332. </parameter>
  7333. <parameter name="associatedReset">
  7334. <type>java.lang.String</type>
  7335. <value>reset</value>
  7336. <derived>false</derived>
  7337. <enabled>true</enabled>
  7338. <visible>false</visible>
  7339. <valid>true</valid>
  7340. </parameter>
  7341. <parameter name="bitsPerSymbol">
  7342. <type>int</type>
  7343. <value>8</value>
  7344. <derived>false</derived>
  7345. <enabled>true</enabled>
  7346. <visible>false</visible>
  7347. <valid>true</valid>
  7348. </parameter>
  7349. <parameter name="bridgedAddressOffset">
  7350. <type>java.math.BigInteger</type>
  7351. <value></value>
  7352. <derived>false</derived>
  7353. <enabled>true</enabled>
  7354. <visible>false</visible>
  7355. <valid>true</valid>
  7356. </parameter>
  7357. <parameter name="bridgesToMaster">
  7358. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  7359. <value></value>
  7360. <derived>false</derived>
  7361. <enabled>true</enabled>
  7362. <visible>false</visible>
  7363. <valid>true</valid>
  7364. </parameter>
  7365. <parameter name="burstOnBurstBoundariesOnly">
  7366. <type>boolean</type>
  7367. <value>false</value>
  7368. <derived>false</derived>
  7369. <enabled>true</enabled>
  7370. <visible>true</visible>
  7371. <valid>true</valid>
  7372. </parameter>
  7373. <parameter name="burstcountUnits">
  7374. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  7375. <value>WORDS</value>
  7376. <derived>false</derived>
  7377. <enabled>true</enabled>
  7378. <visible>false</visible>
  7379. <valid>true</valid>
  7380. </parameter>
  7381. <parameter name="constantBurstBehavior">
  7382. <type>boolean</type>
  7383. <value>false</value>
  7384. <derived>false</derived>
  7385. <enabled>true</enabled>
  7386. <visible>false</visible>
  7387. <valid>true</valid>
  7388. </parameter>
  7389. <parameter name="explicitAddressSpan">
  7390. <type>java.math.BigInteger</type>
  7391. <value>0</value>
  7392. <derived>false</derived>
  7393. <enabled>true</enabled>
  7394. <visible>true</visible>
  7395. <valid>true</valid>
  7396. </parameter>
  7397. <parameter name="holdTime">
  7398. <type>int</type>
  7399. <value>0</value>
  7400. <derived>false</derived>
  7401. <enabled>true</enabled>
  7402. <visible>true</visible>
  7403. <valid>true</valid>
  7404. </parameter>
  7405. <parameter name="interleaveBursts">
  7406. <type>boolean</type>
  7407. <value>false</value>
  7408. <derived>false</derived>
  7409. <enabled>true</enabled>
  7410. <visible>false</visible>
  7411. <valid>true</valid>
  7412. </parameter>
  7413. <parameter name="isBigEndian">
  7414. <type>boolean</type>
  7415. <value>false</value>
  7416. <derived>false</derived>
  7417. <enabled>true</enabled>
  7418. <visible>false</visible>
  7419. <valid>true</valid>
  7420. </parameter>
  7421. <parameter name="isFlash">
  7422. <type>boolean</type>
  7423. <value>false</value>
  7424. <derived>false</derived>
  7425. <enabled>true</enabled>
  7426. <visible>false</visible>
  7427. <valid>true</valid>
  7428. </parameter>
  7429. <parameter name="isMemoryDevice">
  7430. <type>boolean</type>
  7431. <value>false</value>
  7432. <derived>false</derived>
  7433. <enabled>true</enabled>
  7434. <visible>true</visible>
  7435. <valid>true</valid>
  7436. </parameter>
  7437. <parameter name="isNonVolatileStorage">
  7438. <type>boolean</type>
  7439. <value>false</value>
  7440. <derived>false</derived>
  7441. <enabled>true</enabled>
  7442. <visible>true</visible>
  7443. <valid>true</valid>
  7444. </parameter>
  7445. <parameter name="linewrapBursts">
  7446. <type>boolean</type>
  7447. <value>false</value>
  7448. <derived>false</derived>
  7449. <enabled>true</enabled>
  7450. <visible>true</visible>
  7451. <valid>true</valid>
  7452. </parameter>
  7453. <parameter name="maximumPendingReadTransactions">
  7454. <type>int</type>
  7455. <value>0</value>
  7456. <derived>false</derived>
  7457. <enabled>false</enabled>
  7458. <visible>true</visible>
  7459. <valid>true</valid>
  7460. </parameter>
  7461. <parameter name="maximumPendingWriteTransactions">
  7462. <type>int</type>
  7463. <value>0</value>
  7464. <derived>false</derived>
  7465. <enabled>false</enabled>
  7466. <visible>true</visible>
  7467. <valid>true</valid>
  7468. </parameter>
  7469. <parameter name="minimumUninterruptedRunLength">
  7470. <type>int</type>
  7471. <value>1</value>
  7472. <derived>false</derived>
  7473. <enabled>true</enabled>
  7474. <visible>false</visible>
  7475. <valid>true</valid>
  7476. </parameter>
  7477. <parameter name="printableDevice">
  7478. <type>boolean</type>
  7479. <value>false</value>
  7480. <derived>false</derived>
  7481. <enabled>true</enabled>
  7482. <visible>true</visible>
  7483. <valid>true</valid>
  7484. </parameter>
  7485. <parameter name="readLatency">
  7486. <type>int</type>
  7487. <value>0</value>
  7488. <derived>false</derived>
  7489. <enabled>true</enabled>
  7490. <visible>true</visible>
  7491. <valid>true</valid>
  7492. </parameter>
  7493. <parameter name="readWaitStates">
  7494. <type>int</type>
  7495. <value>1</value>
  7496. <derived>false</derived>
  7497. <enabled>true</enabled>
  7498. <visible>false</visible>
  7499. <valid>true</valid>
  7500. </parameter>
  7501. <parameter name="readWaitTime">
  7502. <type>int</type>
  7503. <value>1</value>
  7504. <derived>false</derived>
  7505. <enabled>true</enabled>
  7506. <visible>true</visible>
  7507. <valid>true</valid>
  7508. </parameter>
  7509. <parameter name="registerIncomingSignals">
  7510. <type>boolean</type>
  7511. <value>false</value>
  7512. <derived>false</derived>
  7513. <enabled>true</enabled>
  7514. <visible>false</visible>
  7515. <valid>true</valid>
  7516. </parameter>
  7517. <parameter name="registerOutgoingSignals">
  7518. <type>boolean</type>
  7519. <value>false</value>
  7520. <derived>false</derived>
  7521. <enabled>true</enabled>
  7522. <visible>false</visible>
  7523. <valid>true</valid>
  7524. </parameter>
  7525. <parameter name="setupTime">
  7526. <type>int</type>
  7527. <value>0</value>
  7528. <derived>false</derived>
  7529. <enabled>true</enabled>
  7530. <visible>true</visible>
  7531. <valid>true</valid>
  7532. </parameter>
  7533. <parameter name="timingUnits">
  7534. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  7535. <value>Cycles</value>
  7536. <derived>false</derived>
  7537. <enabled>true</enabled>
  7538. <visible>true</visible>
  7539. <valid>true</valid>
  7540. </parameter>
  7541. <parameter name="transparentBridge">
  7542. <type>boolean</type>
  7543. <value>false</value>
  7544. <derived>false</derived>
  7545. <enabled>true</enabled>
  7546. <visible>false</visible>
  7547. <valid>true</valid>
  7548. </parameter>
  7549. <parameter name="wellBehavedWaitrequest">
  7550. <type>boolean</type>
  7551. <value>false</value>
  7552. <derived>false</derived>
  7553. <enabled>true</enabled>
  7554. <visible>false</visible>
  7555. <valid>true</valid>
  7556. </parameter>
  7557. <parameter name="writeLatency">
  7558. <type>int</type>
  7559. <value>0</value>
  7560. <derived>false</derived>
  7561. <enabled>true</enabled>
  7562. <visible>false</visible>
  7563. <valid>true</valid>
  7564. </parameter>
  7565. <parameter name="writeWaitStates">
  7566. <type>int</type>
  7567. <value>0</value>
  7568. <derived>false</derived>
  7569. <enabled>true</enabled>
  7570. <visible>false</visible>
  7571. <valid>true</valid>
  7572. </parameter>
  7573. <parameter name="writeWaitTime">
  7574. <type>int</type>
  7575. <value>0</value>
  7576. <derived>false</derived>
  7577. <enabled>true</enabled>
  7578. <visible>true</visible>
  7579. <valid>true</valid>
  7580. </parameter>
  7581. <parameter name="deviceFamily">
  7582. <type>java.lang.String</type>
  7583. <value>UNKNOWN</value>
  7584. <derived>false</derived>
  7585. <enabled>true</enabled>
  7586. <visible>true</visible>
  7587. <valid>true</valid>
  7588. </parameter>
  7589. <parameter name="generateLegacySim">
  7590. <type>boolean</type>
  7591. <value>false</value>
  7592. <derived>false</derived>
  7593. <enabled>true</enabled>
  7594. <visible>true</visible>
  7595. <valid>true</valid>
  7596. </parameter>
  7597. <type>avalon</type>
  7598. <isStart>false</isStart>
  7599. <port>
  7600. <name>address</name>
  7601. <direction>Input</direction>
  7602. <width>2</width>
  7603. <role>address</role>
  7604. </port>
  7605. <port>
  7606. <name>write_n</name>
  7607. <direction>Input</direction>
  7608. <width>1</width>
  7609. <role>write_n</role>
  7610. </port>
  7611. <port>
  7612. <name>writedata</name>
  7613. <direction>Input</direction>
  7614. <width>32</width>
  7615. <role>writedata</role>
  7616. </port>
  7617. <port>
  7618. <name>chipselect</name>
  7619. <direction>Input</direction>
  7620. <width>1</width>
  7621. <role>chipselect</role>
  7622. </port>
  7623. <port>
  7624. <name>readdata</name>
  7625. <direction>Output</direction>
  7626. <width>32</width>
  7627. <role>readdata</role>
  7628. </port>
  7629. </interface>
  7630. <interface name="external_connection" kind="conduit_end" version="18.1">
  7631. <!-- The connection points exposed by a module instance for the
  7632. particular module parameters. Connection points and their
  7633. parameters are a RESULT of the module parameters. -->
  7634. <parameter name="associatedClock">
  7635. <type>java.lang.String</type>
  7636. <value></value>
  7637. <derived>false</derived>
  7638. <enabled>true</enabled>
  7639. <visible>true</visible>
  7640. <valid>true</valid>
  7641. </parameter>
  7642. <parameter name="associatedReset">
  7643. <type>java.lang.String</type>
  7644. <value></value>
  7645. <derived>false</derived>
  7646. <enabled>true</enabled>
  7647. <visible>true</visible>
  7648. <valid>true</valid>
  7649. </parameter>
  7650. <parameter name="deviceFamily">
  7651. <type>java.lang.String</type>
  7652. <value>UNKNOWN</value>
  7653. <derived>false</derived>
  7654. <enabled>true</enabled>
  7655. <visible>true</visible>
  7656. <valid>true</valid>
  7657. </parameter>
  7658. <parameter name="generateLegacySim">
  7659. <type>boolean</type>
  7660. <value>false</value>
  7661. <derived>false</derived>
  7662. <enabled>true</enabled>
  7663. <visible>true</visible>
  7664. <valid>true</valid>
  7665. </parameter>
  7666. <type>conduit</type>
  7667. <isStart>false</isStart>
  7668. <port>
  7669. <name>out_port</name>
  7670. <direction>Output</direction>
  7671. <width>32</width>
  7672. <role>export</role>
  7673. </port>
  7674. </interface>
  7675. </module>
  7676. <module
  7677. name="pio_MATRIX"
  7678. kind="altera_avalon_pio"
  7679. version="18.1"
  7680. path="pio_MATRIX">
  7681. <!-- Describes a single module. Module parameters are
  7682. the requested settings for a module instance. -->
  7683. <assignment>
  7684. <name>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</name>
  7685. <value>0</value>
  7686. </assignment>
  7687. <assignment>
  7688. <name>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</name>
  7689. <value>0</value>
  7690. </assignment>
  7691. <assignment>
  7692. <name>embeddedsw.CMacro.CAPTURE</name>
  7693. <value>0</value>
  7694. </assignment>
  7695. <assignment>
  7696. <name>embeddedsw.CMacro.DATA_WIDTH</name>
  7697. <value>20</value>
  7698. </assignment>
  7699. <assignment>
  7700. <name>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</name>
  7701. <value>0</value>
  7702. </assignment>
  7703. <assignment>
  7704. <name>embeddedsw.CMacro.DRIVEN_SIM_VALUE</name>
  7705. <value>0</value>
  7706. </assignment>
  7707. <assignment>
  7708. <name>embeddedsw.CMacro.EDGE_TYPE</name>
  7709. <value>NONE</value>
  7710. </assignment>
  7711. <assignment>
  7712. <name>embeddedsw.CMacro.FREQ</name>
  7713. <value>50000000</value>
  7714. </assignment>
  7715. <assignment>
  7716. <name>embeddedsw.CMacro.HAS_IN</name>
  7717. <value>0</value>
  7718. </assignment>
  7719. <assignment>
  7720. <name>embeddedsw.CMacro.HAS_OUT</name>
  7721. <value>1</value>
  7722. </assignment>
  7723. <assignment>
  7724. <name>embeddedsw.CMacro.HAS_TRI</name>
  7725. <value>0</value>
  7726. </assignment>
  7727. <assignment>
  7728. <name>embeddedsw.CMacro.IRQ_TYPE</name>
  7729. <value>NONE</value>
  7730. </assignment>
  7731. <assignment>
  7732. <name>embeddedsw.CMacro.RESET_VALUE</name>
  7733. <value>0</value>
  7734. </assignment>
  7735. <assignment>
  7736. <name>embeddedsw.dts.compatible</name>
  7737. <value>altr,pio-1.0</value>
  7738. </assignment>
  7739. <assignment>
  7740. <name>embeddedsw.dts.group</name>
  7741. <value>gpio</value>
  7742. </assignment>
  7743. <assignment>
  7744. <name>embeddedsw.dts.name</name>
  7745. <value>pio</value>
  7746. </assignment>
  7747. <assignment>
  7748. <name>embeddedsw.dts.params.altr,gpio-bank-width</name>
  7749. <value>20</value>
  7750. </assignment>
  7751. <assignment>
  7752. <name>embeddedsw.dts.params.resetvalue</name>
  7753. <value>0</value>
  7754. </assignment>
  7755. <assignment>
  7756. <name>embeddedsw.dts.vendor</name>
  7757. <value>altr</value>
  7758. </assignment>
  7759. <parameter name="bitClearingEdgeCapReg">
  7760. <type>boolean</type>
  7761. <value>false</value>
  7762. <derived>false</derived>
  7763. <enabled>false</enabled>
  7764. <visible>true</visible>
  7765. <valid>true</valid>
  7766. </parameter>
  7767. <parameter name="bitModifyingOutReg">
  7768. <type>boolean</type>
  7769. <value>false</value>
  7770. <derived>false</derived>
  7771. <enabled>true</enabled>
  7772. <visible>true</visible>
  7773. <valid>true</valid>
  7774. </parameter>
  7775. <parameter name="captureEdge">
  7776. <type>boolean</type>
  7777. <value>false</value>
  7778. <derived>false</derived>
  7779. <enabled>false</enabled>
  7780. <visible>true</visible>
  7781. <valid>true</valid>
  7782. </parameter>
  7783. <parameter name="direction">
  7784. <type>java.lang.String</type>
  7785. <value>Output</value>
  7786. <derived>false</derived>
  7787. <enabled>true</enabled>
  7788. <visible>true</visible>
  7789. <valid>true</valid>
  7790. </parameter>
  7791. <parameter name="edgeType">
  7792. <type>java.lang.String</type>
  7793. <value>RISING</value>
  7794. <derived>false</derived>
  7795. <enabled>false</enabled>
  7796. <visible>true</visible>
  7797. <valid>true</valid>
  7798. </parameter>
  7799. <parameter name="generateIRQ">
  7800. <type>boolean</type>
  7801. <value>false</value>
  7802. <derived>false</derived>
  7803. <enabled>false</enabled>
  7804. <visible>true</visible>
  7805. <valid>true</valid>
  7806. </parameter>
  7807. <parameter name="irqType">
  7808. <type>java.lang.String</type>
  7809. <value>LEVEL</value>
  7810. <derived>false</derived>
  7811. <enabled>false</enabled>
  7812. <visible>true</visible>
  7813. <valid>true</valid>
  7814. </parameter>
  7815. <parameter name="resetValue">
  7816. <type>long</type>
  7817. <value>0</value>
  7818. <derived>false</derived>
  7819. <enabled>true</enabled>
  7820. <visible>true</visible>
  7821. <valid>true</valid>
  7822. </parameter>
  7823. <parameter name="simDoTestBenchWiring">
  7824. <type>boolean</type>
  7825. <value>false</value>
  7826. <derived>false</derived>
  7827. <enabled>false</enabled>
  7828. <visible>true</visible>
  7829. <valid>true</valid>
  7830. </parameter>
  7831. <parameter name="simDrivenValue">
  7832. <type>long</type>
  7833. <value>0</value>
  7834. <derived>false</derived>
  7835. <enabled>false</enabled>
  7836. <visible>true</visible>
  7837. <valid>true</valid>
  7838. </parameter>
  7839. <parameter name="width">
  7840. <type>int</type>
  7841. <value>20</value>
  7842. <derived>false</derived>
  7843. <enabled>true</enabled>
  7844. <visible>true</visible>
  7845. <valid>true</valid>
  7846. </parameter>
  7847. <parameter name="clockRate">
  7848. <type>long</type>
  7849. <value>50000000</value>
  7850. <derived>false</derived>
  7851. <enabled>true</enabled>
  7852. <visible>false</visible>
  7853. <valid>true</valid>
  7854. <sysinfo_type>CLOCK_RATE</sysinfo_type>
  7855. <sysinfo_arg>clk</sysinfo_arg>
  7856. </parameter>
  7857. <parameter name="derived_has_tri">
  7858. <type>boolean</type>
  7859. <value>false</value>
  7860. <derived>true</derived>
  7861. <enabled>true</enabled>
  7862. <visible>false</visible>
  7863. <valid>true</valid>
  7864. </parameter>
  7865. <parameter name="derived_has_out">
  7866. <type>boolean</type>
  7867. <value>true</value>
  7868. <derived>true</derived>
  7869. <enabled>true</enabled>
  7870. <visible>false</visible>
  7871. <valid>true</valid>
  7872. </parameter>
  7873. <parameter name="derived_has_in">
  7874. <type>boolean</type>
  7875. <value>false</value>
  7876. <derived>true</derived>
  7877. <enabled>true</enabled>
  7878. <visible>false</visible>
  7879. <valid>true</valid>
  7880. </parameter>
  7881. <parameter name="derived_do_test_bench_wiring">
  7882. <type>boolean</type>
  7883. <value>false</value>
  7884. <derived>true</derived>
  7885. <enabled>true</enabled>
  7886. <visible>false</visible>
  7887. <valid>true</valid>
  7888. </parameter>
  7889. <parameter name="derived_capture">
  7890. <type>boolean</type>
  7891. <value>false</value>
  7892. <derived>true</derived>
  7893. <enabled>true</enabled>
  7894. <visible>false</visible>
  7895. <valid>true</valid>
  7896. </parameter>
  7897. <parameter name="derived_edge_type">
  7898. <type>java.lang.String</type>
  7899. <value>NONE</value>
  7900. <derived>true</derived>
  7901. <enabled>true</enabled>
  7902. <visible>false</visible>
  7903. <valid>true</valid>
  7904. </parameter>
  7905. <parameter name="derived_irq_type">
  7906. <type>java.lang.String</type>
  7907. <value>NONE</value>
  7908. <derived>true</derived>
  7909. <enabled>true</enabled>
  7910. <visible>false</visible>
  7911. <valid>true</valid>
  7912. </parameter>
  7913. <parameter name="derived_has_irq">
  7914. <type>boolean</type>
  7915. <value>false</value>
  7916. <derived>true</derived>
  7917. <enabled>true</enabled>
  7918. <visible>false</visible>
  7919. <valid>true</valid>
  7920. </parameter>
  7921. <parameter name="deviceFamily">
  7922. <type>java.lang.String</type>
  7923. <value>UNKNOWN</value>
  7924. <derived>false</derived>
  7925. <enabled>true</enabled>
  7926. <visible>true</visible>
  7927. <valid>true</valid>
  7928. </parameter>
  7929. <parameter name="generateLegacySim">
  7930. <type>boolean</type>
  7931. <value>false</value>
  7932. <derived>false</derived>
  7933. <enabled>true</enabled>
  7934. <visible>true</visible>
  7935. <valid>true</valid>
  7936. </parameter>
  7937. <interface name="clk" kind="clock_sink" version="18.1">
  7938. <!-- The connection points exposed by a module instance for the
  7939. particular module parameters. Connection points and their
  7940. parameters are a RESULT of the module parameters. -->
  7941. <parameter name="externallyDriven">
  7942. <type>boolean</type>
  7943. <value>false</value>
  7944. <derived>false</derived>
  7945. <enabled>true</enabled>
  7946. <visible>false</visible>
  7947. <valid>true</valid>
  7948. </parameter>
  7949. <parameter name="ptfSchematicName">
  7950. <type>java.lang.String</type>
  7951. <value></value>
  7952. <derived>false</derived>
  7953. <enabled>true</enabled>
  7954. <visible>false</visible>
  7955. <valid>true</valid>
  7956. </parameter>
  7957. <parameter name="deviceFamily">
  7958. <type>java.lang.String</type>
  7959. <value>UNKNOWN</value>
  7960. <derived>false</derived>
  7961. <enabled>true</enabled>
  7962. <visible>true</visible>
  7963. <valid>true</valid>
  7964. </parameter>
  7965. <parameter name="generateLegacySim">
  7966. <type>boolean</type>
  7967. <value>false</value>
  7968. <derived>false</derived>
  7969. <enabled>true</enabled>
  7970. <visible>true</visible>
  7971. <valid>true</valid>
  7972. </parameter>
  7973. <parameter name="clockRateKnown">
  7974. <type>java.lang.Boolean</type>
  7975. <value>true</value>
  7976. <derived>true</derived>
  7977. <enabled>true</enabled>
  7978. <visible>false</visible>
  7979. <valid>true</valid>
  7980. </parameter>
  7981. <parameter name="clockRate">
  7982. <type>java.lang.Long</type>
  7983. <value>50000000</value>
  7984. <derived>true</derived>
  7985. <enabled>true</enabled>
  7986. <visible>false</visible>
  7987. <valid>true</valid>
  7988. </parameter>
  7989. <type>clock</type>
  7990. <isStart>false</isStart>
  7991. <port>
  7992. <name>clk</name>
  7993. <direction>Input</direction>
  7994. <width>1</width>
  7995. <role>clk</role>
  7996. </port>
  7997. </interface>
  7998. <interface name="reset" kind="reset_sink" version="18.1">
  7999. <!-- The connection points exposed by a module instance for the
  8000. particular module parameters. Connection points and their
  8001. parameters are a RESULT of the module parameters. -->
  8002. <parameter name="associatedClock">
  8003. <type>java.lang.String</type>
  8004. <value>clk</value>
  8005. <derived>false</derived>
  8006. <enabled>true</enabled>
  8007. <visible>true</visible>
  8008. <valid>true</valid>
  8009. </parameter>
  8010. <parameter name="synchronousEdges">
  8011. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  8012. <value>DEASSERT</value>
  8013. <derived>false</derived>
  8014. <enabled>true</enabled>
  8015. <visible>true</visible>
  8016. <valid>true</valid>
  8017. </parameter>
  8018. <parameter name="deviceFamily">
  8019. <type>java.lang.String</type>
  8020. <value>UNKNOWN</value>
  8021. <derived>false</derived>
  8022. <enabled>true</enabled>
  8023. <visible>true</visible>
  8024. <valid>true</valid>
  8025. </parameter>
  8026. <parameter name="generateLegacySim">
  8027. <type>boolean</type>
  8028. <value>false</value>
  8029. <derived>false</derived>
  8030. <enabled>true</enabled>
  8031. <visible>true</visible>
  8032. <valid>true</valid>
  8033. </parameter>
  8034. <type>reset</type>
  8035. <isStart>false</isStart>
  8036. <port>
  8037. <name>reset_n</name>
  8038. <direction>Input</direction>
  8039. <width>1</width>
  8040. <role>reset_n</role>
  8041. </port>
  8042. </interface>
  8043. <interface name="s1" kind="avalon_slave" version="18.1">
  8044. <!-- The connection points exposed by a module instance for the
  8045. particular module parameters. Connection points and their
  8046. parameters are a RESULT of the module parameters. -->
  8047. <assignment>
  8048. <name>embeddedsw.configuration.isFlash</name>
  8049. <value>0</value>
  8050. </assignment>
  8051. <assignment>
  8052. <name>embeddedsw.configuration.isMemoryDevice</name>
  8053. <value>0</value>
  8054. </assignment>
  8055. <assignment>
  8056. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  8057. <value>0</value>
  8058. </assignment>
  8059. <assignment>
  8060. <name>embeddedsw.configuration.isPrintableDevice</name>
  8061. <value>0</value>
  8062. </assignment>
  8063. <parameter name="addressAlignment">
  8064. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  8065. <value>NATIVE</value>
  8066. <derived>false</derived>
  8067. <enabled>true</enabled>
  8068. <visible>true</visible>
  8069. <valid>true</valid>
  8070. </parameter>
  8071. <parameter name="addressGroup">
  8072. <type>int</type>
  8073. <value>0</value>
  8074. <derived>false</derived>
  8075. <enabled>true</enabled>
  8076. <visible>false</visible>
  8077. <valid>true</valid>
  8078. </parameter>
  8079. <parameter name="addressSpan">
  8080. <type>java.math.BigInteger</type>
  8081. <value>4</value>
  8082. <derived>true</derived>
  8083. <enabled>true</enabled>
  8084. <visible>false</visible>
  8085. <valid>true</valid>
  8086. </parameter>
  8087. <parameter name="addressUnits">
  8088. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  8089. <value>WORDS</value>
  8090. <derived>false</derived>
  8091. <enabled>true</enabled>
  8092. <visible>true</visible>
  8093. <valid>true</valid>
  8094. </parameter>
  8095. <parameter name="alwaysBurstMaxBurst">
  8096. <type>boolean</type>
  8097. <value>false</value>
  8098. <derived>false</derived>
  8099. <enabled>true</enabled>
  8100. <visible>false</visible>
  8101. <valid>true</valid>
  8102. </parameter>
  8103. <parameter name="associatedClock">
  8104. <type>java.lang.String</type>
  8105. <value>clk</value>
  8106. <derived>false</derived>
  8107. <enabled>true</enabled>
  8108. <visible>true</visible>
  8109. <valid>true</valid>
  8110. </parameter>
  8111. <parameter name="associatedReset">
  8112. <type>java.lang.String</type>
  8113. <value>reset</value>
  8114. <derived>false</derived>
  8115. <enabled>true</enabled>
  8116. <visible>false</visible>
  8117. <valid>true</valid>
  8118. </parameter>
  8119. <parameter name="bitsPerSymbol">
  8120. <type>int</type>
  8121. <value>8</value>
  8122. <derived>false</derived>
  8123. <enabled>true</enabled>
  8124. <visible>false</visible>
  8125. <valid>true</valid>
  8126. </parameter>
  8127. <parameter name="bridgedAddressOffset">
  8128. <type>java.math.BigInteger</type>
  8129. <value></value>
  8130. <derived>false</derived>
  8131. <enabled>true</enabled>
  8132. <visible>false</visible>
  8133. <valid>true</valid>
  8134. </parameter>
  8135. <parameter name="bridgesToMaster">
  8136. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  8137. <value></value>
  8138. <derived>false</derived>
  8139. <enabled>true</enabled>
  8140. <visible>false</visible>
  8141. <valid>true</valid>
  8142. </parameter>
  8143. <parameter name="burstOnBurstBoundariesOnly">
  8144. <type>boolean</type>
  8145. <value>false</value>
  8146. <derived>false</derived>
  8147. <enabled>true</enabled>
  8148. <visible>true</visible>
  8149. <valid>true</valid>
  8150. </parameter>
  8151. <parameter name="burstcountUnits">
  8152. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  8153. <value>WORDS</value>
  8154. <derived>false</derived>
  8155. <enabled>true</enabled>
  8156. <visible>false</visible>
  8157. <valid>true</valid>
  8158. </parameter>
  8159. <parameter name="constantBurstBehavior">
  8160. <type>boolean</type>
  8161. <value>false</value>
  8162. <derived>false</derived>
  8163. <enabled>true</enabled>
  8164. <visible>false</visible>
  8165. <valid>true</valid>
  8166. </parameter>
  8167. <parameter name="explicitAddressSpan">
  8168. <type>java.math.BigInteger</type>
  8169. <value>0</value>
  8170. <derived>false</derived>
  8171. <enabled>true</enabled>
  8172. <visible>true</visible>
  8173. <valid>true</valid>
  8174. </parameter>
  8175. <parameter name="holdTime">
  8176. <type>int</type>
  8177. <value>0</value>
  8178. <derived>false</derived>
  8179. <enabled>true</enabled>
  8180. <visible>true</visible>
  8181. <valid>true</valid>
  8182. </parameter>
  8183. <parameter name="interleaveBursts">
  8184. <type>boolean</type>
  8185. <value>false</value>
  8186. <derived>false</derived>
  8187. <enabled>true</enabled>
  8188. <visible>false</visible>
  8189. <valid>true</valid>
  8190. </parameter>
  8191. <parameter name="isBigEndian">
  8192. <type>boolean</type>
  8193. <value>false</value>
  8194. <derived>false</derived>
  8195. <enabled>true</enabled>
  8196. <visible>false</visible>
  8197. <valid>true</valid>
  8198. </parameter>
  8199. <parameter name="isFlash">
  8200. <type>boolean</type>
  8201. <value>false</value>
  8202. <derived>false</derived>
  8203. <enabled>true</enabled>
  8204. <visible>false</visible>
  8205. <valid>true</valid>
  8206. </parameter>
  8207. <parameter name="isMemoryDevice">
  8208. <type>boolean</type>
  8209. <value>false</value>
  8210. <derived>false</derived>
  8211. <enabled>true</enabled>
  8212. <visible>true</visible>
  8213. <valid>true</valid>
  8214. </parameter>
  8215. <parameter name="isNonVolatileStorage">
  8216. <type>boolean</type>
  8217. <value>false</value>
  8218. <derived>false</derived>
  8219. <enabled>true</enabled>
  8220. <visible>true</visible>
  8221. <valid>true</valid>
  8222. </parameter>
  8223. <parameter name="linewrapBursts">
  8224. <type>boolean</type>
  8225. <value>false</value>
  8226. <derived>false</derived>
  8227. <enabled>true</enabled>
  8228. <visible>true</visible>
  8229. <valid>true</valid>
  8230. </parameter>
  8231. <parameter name="maximumPendingReadTransactions">
  8232. <type>int</type>
  8233. <value>0</value>
  8234. <derived>false</derived>
  8235. <enabled>false</enabled>
  8236. <visible>true</visible>
  8237. <valid>true</valid>
  8238. </parameter>
  8239. <parameter name="maximumPendingWriteTransactions">
  8240. <type>int</type>
  8241. <value>0</value>
  8242. <derived>false</derived>
  8243. <enabled>false</enabled>
  8244. <visible>true</visible>
  8245. <valid>true</valid>
  8246. </parameter>
  8247. <parameter name="minimumUninterruptedRunLength">
  8248. <type>int</type>
  8249. <value>1</value>
  8250. <derived>false</derived>
  8251. <enabled>true</enabled>
  8252. <visible>false</visible>
  8253. <valid>true</valid>
  8254. </parameter>
  8255. <parameter name="printableDevice">
  8256. <type>boolean</type>
  8257. <value>false</value>
  8258. <derived>false</derived>
  8259. <enabled>true</enabled>
  8260. <visible>true</visible>
  8261. <valid>true</valid>
  8262. </parameter>
  8263. <parameter name="readLatency">
  8264. <type>int</type>
  8265. <value>0</value>
  8266. <derived>false</derived>
  8267. <enabled>true</enabled>
  8268. <visible>true</visible>
  8269. <valid>true</valid>
  8270. </parameter>
  8271. <parameter name="readWaitStates">
  8272. <type>int</type>
  8273. <value>1</value>
  8274. <derived>false</derived>
  8275. <enabled>true</enabled>
  8276. <visible>false</visible>
  8277. <valid>true</valid>
  8278. </parameter>
  8279. <parameter name="readWaitTime">
  8280. <type>int</type>
  8281. <value>1</value>
  8282. <derived>false</derived>
  8283. <enabled>true</enabled>
  8284. <visible>true</visible>
  8285. <valid>true</valid>
  8286. </parameter>
  8287. <parameter name="registerIncomingSignals">
  8288. <type>boolean</type>
  8289. <value>false</value>
  8290. <derived>false</derived>
  8291. <enabled>true</enabled>
  8292. <visible>false</visible>
  8293. <valid>true</valid>
  8294. </parameter>
  8295. <parameter name="registerOutgoingSignals">
  8296. <type>boolean</type>
  8297. <value>false</value>
  8298. <derived>false</derived>
  8299. <enabled>true</enabled>
  8300. <visible>false</visible>
  8301. <valid>true</valid>
  8302. </parameter>
  8303. <parameter name="setupTime">
  8304. <type>int</type>
  8305. <value>0</value>
  8306. <derived>false</derived>
  8307. <enabled>true</enabled>
  8308. <visible>true</visible>
  8309. <valid>true</valid>
  8310. </parameter>
  8311. <parameter name="timingUnits">
  8312. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  8313. <value>Cycles</value>
  8314. <derived>false</derived>
  8315. <enabled>true</enabled>
  8316. <visible>true</visible>
  8317. <valid>true</valid>
  8318. </parameter>
  8319. <parameter name="transparentBridge">
  8320. <type>boolean</type>
  8321. <value>false</value>
  8322. <derived>false</derived>
  8323. <enabled>true</enabled>
  8324. <visible>false</visible>
  8325. <valid>true</valid>
  8326. </parameter>
  8327. <parameter name="wellBehavedWaitrequest">
  8328. <type>boolean</type>
  8329. <value>false</value>
  8330. <derived>false</derived>
  8331. <enabled>true</enabled>
  8332. <visible>false</visible>
  8333. <valid>true</valid>
  8334. </parameter>
  8335. <parameter name="writeLatency">
  8336. <type>int</type>
  8337. <value>0</value>
  8338. <derived>false</derived>
  8339. <enabled>true</enabled>
  8340. <visible>false</visible>
  8341. <valid>true</valid>
  8342. </parameter>
  8343. <parameter name="writeWaitStates">
  8344. <type>int</type>
  8345. <value>0</value>
  8346. <derived>false</derived>
  8347. <enabled>true</enabled>
  8348. <visible>false</visible>
  8349. <valid>true</valid>
  8350. </parameter>
  8351. <parameter name="writeWaitTime">
  8352. <type>int</type>
  8353. <value>0</value>
  8354. <derived>false</derived>
  8355. <enabled>true</enabled>
  8356. <visible>true</visible>
  8357. <valid>true</valid>
  8358. </parameter>
  8359. <parameter name="deviceFamily">
  8360. <type>java.lang.String</type>
  8361. <value>UNKNOWN</value>
  8362. <derived>false</derived>
  8363. <enabled>true</enabled>
  8364. <visible>true</visible>
  8365. <valid>true</valid>
  8366. </parameter>
  8367. <parameter name="generateLegacySim">
  8368. <type>boolean</type>
  8369. <value>false</value>
  8370. <derived>false</derived>
  8371. <enabled>true</enabled>
  8372. <visible>true</visible>
  8373. <valid>true</valid>
  8374. </parameter>
  8375. <type>avalon</type>
  8376. <isStart>false</isStart>
  8377. <port>
  8378. <name>address</name>
  8379. <direction>Input</direction>
  8380. <width>2</width>
  8381. <role>address</role>
  8382. </port>
  8383. <port>
  8384. <name>write_n</name>
  8385. <direction>Input</direction>
  8386. <width>1</width>
  8387. <role>write_n</role>
  8388. </port>
  8389. <port>
  8390. <name>writedata</name>
  8391. <direction>Input</direction>
  8392. <width>32</width>
  8393. <role>writedata</role>
  8394. </port>
  8395. <port>
  8396. <name>chipselect</name>
  8397. <direction>Input</direction>
  8398. <width>1</width>
  8399. <role>chipselect</role>
  8400. </port>
  8401. <port>
  8402. <name>readdata</name>
  8403. <direction>Output</direction>
  8404. <width>32</width>
  8405. <role>readdata</role>
  8406. </port>
  8407. </interface>
  8408. <interface name="external_connection" kind="conduit_end" version="18.1">
  8409. <!-- The connection points exposed by a module instance for the
  8410. particular module parameters. Connection points and their
  8411. parameters are a RESULT of the module parameters. -->
  8412. <parameter name="associatedClock">
  8413. <type>java.lang.String</type>
  8414. <value></value>
  8415. <derived>false</derived>
  8416. <enabled>true</enabled>
  8417. <visible>true</visible>
  8418. <valid>true</valid>
  8419. </parameter>
  8420. <parameter name="associatedReset">
  8421. <type>java.lang.String</type>
  8422. <value></value>
  8423. <derived>false</derived>
  8424. <enabled>true</enabled>
  8425. <visible>true</visible>
  8426. <valid>true</valid>
  8427. </parameter>
  8428. <parameter name="deviceFamily">
  8429. <type>java.lang.String</type>
  8430. <value>UNKNOWN</value>
  8431. <derived>false</derived>
  8432. <enabled>true</enabled>
  8433. <visible>true</visible>
  8434. <valid>true</valid>
  8435. </parameter>
  8436. <parameter name="generateLegacySim">
  8437. <type>boolean</type>
  8438. <value>false</value>
  8439. <derived>false</derived>
  8440. <enabled>true</enabled>
  8441. <visible>true</visible>
  8442. <valid>true</valid>
  8443. </parameter>
  8444. <type>conduit</type>
  8445. <isStart>false</isStart>
  8446. <port>
  8447. <name>out_port</name>
  8448. <direction>Output</direction>
  8449. <width>20</width>
  8450. <role>export</role>
  8451. </port>
  8452. </interface>
  8453. </module>
  8454. <module
  8455. name="nios2_custom_instruction_master_translator"
  8456. kind="altera_customins_master_translator"
  8457. version="18.1"
  8458. path="nios2_custom_instruction_master_translator">
  8459. <!-- Describes a single module. Module parameters are
  8460. the requested settings for a module instance. -->
  8461. <parameter name="USE_DATAA">
  8462. <type>int</type>
  8463. <value>1</value>
  8464. <derived>false</derived>
  8465. <enabled>true</enabled>
  8466. <visible>true</visible>
  8467. <valid>true</valid>
  8468. </parameter>
  8469. <parameter name="USE_DATAB">
  8470. <type>int</type>
  8471. <value>1</value>
  8472. <derived>false</derived>
  8473. <enabled>true</enabled>
  8474. <visible>true</visible>
  8475. <valid>true</valid>
  8476. </parameter>
  8477. <parameter name="USE_N">
  8478. <type>int</type>
  8479. <value>1</value>
  8480. <derived>false</derived>
  8481. <enabled>true</enabled>
  8482. <visible>true</visible>
  8483. <valid>true</valid>
  8484. </parameter>
  8485. <parameter name="USE_READRA">
  8486. <type>int</type>
  8487. <value>1</value>
  8488. <derived>false</derived>
  8489. <enabled>true</enabled>
  8490. <visible>true</visible>
  8491. <valid>true</valid>
  8492. </parameter>
  8493. <parameter name="USE_READRB">
  8494. <type>int</type>
  8495. <value>1</value>
  8496. <derived>false</derived>
  8497. <enabled>true</enabled>
  8498. <visible>true</visible>
  8499. <valid>true</valid>
  8500. </parameter>
  8501. <parameter name="USE_WRITERC">
  8502. <type>int</type>
  8503. <value>1</value>
  8504. <derived>false</derived>
  8505. <enabled>true</enabled>
  8506. <visible>true</visible>
  8507. <valid>true</valid>
  8508. </parameter>
  8509. <parameter name="USE_IPENDING">
  8510. <type>int</type>
  8511. <value>1</value>
  8512. <derived>false</derived>
  8513. <enabled>true</enabled>
  8514. <visible>true</visible>
  8515. <valid>true</valid>
  8516. </parameter>
  8517. <parameter name="USE_ESTATUS">
  8518. <type>int</type>
  8519. <value>1</value>
  8520. <derived>false</derived>
  8521. <enabled>true</enabled>
  8522. <visible>true</visible>
  8523. <valid>true</valid>
  8524. </parameter>
  8525. <parameter name="ENABLE_MULTICYCLE">
  8526. <type>int</type>
  8527. <value>1</value>
  8528. <derived>false</derived>
  8529. <enabled>true</enabled>
  8530. <visible>true</visible>
  8531. <valid>true</valid>
  8532. </parameter>
  8533. <parameter name="USE_START">
  8534. <type>int</type>
  8535. <value>1</value>
  8536. <derived>false</derived>
  8537. <enabled>true</enabled>
  8538. <visible>true</visible>
  8539. <valid>true</valid>
  8540. </parameter>
  8541. <parameter name="USE_DONE">
  8542. <type>int</type>
  8543. <value>1</value>
  8544. <derived>false</derived>
  8545. <enabled>true</enabled>
  8546. <visible>true</visible>
  8547. <valid>true</valid>
  8548. </parameter>
  8549. <parameter name="USE_MULTI_DATAA">
  8550. <type>int</type>
  8551. <value>1</value>
  8552. <derived>false</derived>
  8553. <enabled>true</enabled>
  8554. <visible>true</visible>
  8555. <valid>true</valid>
  8556. </parameter>
  8557. <parameter name="USE_MULTI_DATAB">
  8558. <type>int</type>
  8559. <value>1</value>
  8560. <derived>false</derived>
  8561. <enabled>true</enabled>
  8562. <visible>true</visible>
  8563. <valid>true</valid>
  8564. </parameter>
  8565. <parameter name="USE_MULTI_RESULT">
  8566. <type>int</type>
  8567. <value>1</value>
  8568. <derived>false</derived>
  8569. <enabled>true</enabled>
  8570. <visible>true</visible>
  8571. <valid>true</valid>
  8572. </parameter>
  8573. <parameter name="USE_MULTI_N">
  8574. <type>int</type>
  8575. <value>1</value>
  8576. <derived>false</derived>
  8577. <enabled>true</enabled>
  8578. <visible>true</visible>
  8579. <valid>true</valid>
  8580. </parameter>
  8581. <parameter name="USE_MULTI_READRA">
  8582. <type>int</type>
  8583. <value>1</value>
  8584. <derived>false</derived>
  8585. <enabled>true</enabled>
  8586. <visible>true</visible>
  8587. <valid>true</valid>
  8588. </parameter>
  8589. <parameter name="USE_MULTI_READRB">
  8590. <type>int</type>
  8591. <value>1</value>
  8592. <derived>false</derived>
  8593. <enabled>true</enabled>
  8594. <visible>true</visible>
  8595. <valid>true</valid>
  8596. </parameter>
  8597. <parameter name="USE_MULTI_WRITERC">
  8598. <type>int</type>
  8599. <value>1</value>
  8600. <derived>false</derived>
  8601. <enabled>true</enabled>
  8602. <visible>true</visible>
  8603. <valid>true</valid>
  8604. </parameter>
  8605. <parameter name="SHARED_COMB_AND_MULTI">
  8606. <type>int</type>
  8607. <value>1</value>
  8608. <derived>false</derived>
  8609. <enabled>true</enabled>
  8610. <visible>true</visible>
  8611. <valid>true</valid>
  8612. </parameter>
  8613. <parameter name="deviceFamily">
  8614. <type>java.lang.String</type>
  8615. <value>UNKNOWN</value>
  8616. <derived>false</derived>
  8617. <enabled>true</enabled>
  8618. <visible>true</visible>
  8619. <valid>true</valid>
  8620. </parameter>
  8621. <parameter name="generateLegacySim">
  8622. <type>boolean</type>
  8623. <value>false</value>
  8624. <derived>false</derived>
  8625. <enabled>true</enabled>
  8626. <visible>true</visible>
  8627. <valid>true</valid>
  8628. </parameter>
  8629. <interface name="ci_slave" kind="nios_custom_instruction_slave" version="18.1">
  8630. <!-- The connection points exposed by a module instance for the
  8631. particular module parameters. Connection points and their
  8632. parameters are a RESULT of the module parameters. -->
  8633. <parameter name="CIName">
  8634. <type>java.lang.String</type>
  8635. <value></value>
  8636. <derived>true</derived>
  8637. <enabled>true</enabled>
  8638. <visible>false</visible>
  8639. <valid>true</valid>
  8640. </parameter>
  8641. <parameter name="NPort">
  8642. <type>int</type>
  8643. <value>8</value>
  8644. <derived>true</derived>
  8645. <enabled>true</enabled>
  8646. <visible>false</visible>
  8647. <valid>true</valid>
  8648. </parameter>
  8649. <parameter name="clockCycle">
  8650. <type>int</type>
  8651. <value>0</value>
  8652. <derived>false</derived>
  8653. <enabled>true</enabled>
  8654. <visible>true</visible>
  8655. <valid>true</valid>
  8656. </parameter>
  8657. <parameter name="clockCycleType">
  8658. <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
  8659. <value>VARIABLE</value>
  8660. <derived>true</derived>
  8661. <enabled>true</enabled>
  8662. <visible>true</visible>
  8663. <valid>true</valid>
  8664. </parameter>
  8665. <parameter name="opcodeExtension">
  8666. <type>int</type>
  8667. <value>0</value>
  8668. <derived>true</derived>
  8669. <enabled>true</enabled>
  8670. <visible>false</visible>
  8671. <valid>true</valid>
  8672. </parameter>
  8673. <parameter name="opcodeExtensionLocked">
  8674. <type>boolean</type>
  8675. <value>false</value>
  8676. <derived>false</derived>
  8677. <enabled>true</enabled>
  8678. <visible>false</visible>
  8679. <valid>true</valid>
  8680. </parameter>
  8681. <parameter name="opcodeExtensionLockedValue">
  8682. <type>int</type>
  8683. <value>0</value>
  8684. <derived>false</derived>
  8685. <enabled>true</enabled>
  8686. <visible>false</visible>
  8687. <valid>true</valid>
  8688. </parameter>
  8689. <parameter name="operands">
  8690. <type>int</type>
  8691. <value>2</value>
  8692. <derived>false</derived>
  8693. <enabled>true</enabled>
  8694. <visible>true</visible>
  8695. <valid>true</valid>
  8696. </parameter>
  8697. <parameter name="deviceFamily">
  8698. <type>java.lang.String</type>
  8699. <value>UNKNOWN</value>
  8700. <derived>false</derived>
  8701. <enabled>true</enabled>
  8702. <visible>true</visible>
  8703. <valid>true</valid>
  8704. </parameter>
  8705. <parameter name="generateLegacySim">
  8706. <type>boolean</type>
  8707. <value>false</value>
  8708. <derived>false</derived>
  8709. <enabled>true</enabled>
  8710. <visible>true</visible>
  8711. <valid>true</valid>
  8712. </parameter>
  8713. <type>nios_custom_instruction</type>
  8714. <isStart>false</isStart>
  8715. <port>
  8716. <name>ci_slave_dataa</name>
  8717. <direction>Input</direction>
  8718. <width>32</width>
  8719. <role>dataa</role>
  8720. </port>
  8721. <port>
  8722. <name>ci_slave_datab</name>
  8723. <direction>Input</direction>
  8724. <width>32</width>
  8725. <role>datab</role>
  8726. </port>
  8727. <port>
  8728. <name>ci_slave_result</name>
  8729. <direction>Output</direction>
  8730. <width>32</width>
  8731. <role>result</role>
  8732. </port>
  8733. <port>
  8734. <name>ci_slave_n</name>
  8735. <direction>Input</direction>
  8736. <width>8</width>
  8737. <role>n</role>
  8738. </port>
  8739. <port>
  8740. <name>ci_slave_readra</name>
  8741. <direction>Input</direction>
  8742. <width>1</width>
  8743. <role>readra</role>
  8744. </port>
  8745. <port>
  8746. <name>ci_slave_readrb</name>
  8747. <direction>Input</direction>
  8748. <width>1</width>
  8749. <role>readrb</role>
  8750. </port>
  8751. <port>
  8752. <name>ci_slave_writerc</name>
  8753. <direction>Input</direction>
  8754. <width>1</width>
  8755. <role>writerc</role>
  8756. </port>
  8757. <port>
  8758. <name>ci_slave_a</name>
  8759. <direction>Input</direction>
  8760. <width>5</width>
  8761. <role>a</role>
  8762. </port>
  8763. <port>
  8764. <name>ci_slave_b</name>
  8765. <direction>Input</direction>
  8766. <width>5</width>
  8767. <role>b</role>
  8768. </port>
  8769. <port>
  8770. <name>ci_slave_c</name>
  8771. <direction>Input</direction>
  8772. <width>5</width>
  8773. <role>c</role>
  8774. </port>
  8775. <port>
  8776. <name>ci_slave_ipending</name>
  8777. <direction>Input</direction>
  8778. <width>32</width>
  8779. <role>ipending</role>
  8780. </port>
  8781. <port>
  8782. <name>ci_slave_estatus</name>
  8783. <direction>Input</direction>
  8784. <width>1</width>
  8785. <role>estatus</role>
  8786. </port>
  8787. <port>
  8788. <name>ci_slave_multi_clk</name>
  8789. <direction>Input</direction>
  8790. <width>1</width>
  8791. <role>clk</role>
  8792. </port>
  8793. <port>
  8794. <name>ci_slave_multi_reset</name>
  8795. <direction>Input</direction>
  8796. <width>1</width>
  8797. <role>reset</role>
  8798. </port>
  8799. <port>
  8800. <name>ci_slave_multi_clken</name>
  8801. <direction>Input</direction>
  8802. <width>1</width>
  8803. <role>clk_en</role>
  8804. </port>
  8805. <port>
  8806. <name>ci_slave_multi_reset_req</name>
  8807. <direction>Input</direction>
  8808. <width>1</width>
  8809. <role>reset_req</role>
  8810. </port>
  8811. <port>
  8812. <name>ci_slave_multi_start</name>
  8813. <direction>Input</direction>
  8814. <width>1</width>
  8815. <role>start</role>
  8816. </port>
  8817. <port>
  8818. <name>ci_slave_multi_done</name>
  8819. <direction>Output</direction>
  8820. <width>1</width>
  8821. <role>done</role>
  8822. </port>
  8823. </interface>
  8824. <interface
  8825. name="comb_ci_master"
  8826. kind="nios_custom_instruction_master"
  8827. version="18.1">
  8828. <!-- The connection points exposed by a module instance for the
  8829. particular module parameters. Connection points and their
  8830. parameters are a RESULT of the module parameters. -->
  8831. <parameter name="CIName">
  8832. <type>java.lang.String</type>
  8833. <value></value>
  8834. <derived>true</derived>
  8835. <enabled>true</enabled>
  8836. <visible>false</visible>
  8837. <valid>true</valid>
  8838. </parameter>
  8839. <parameter name="addressWidth">
  8840. <type>int</type>
  8841. <value>8</value>
  8842. <derived>false</derived>
  8843. <enabled>true</enabled>
  8844. <visible>false</visible>
  8845. <valid>true</valid>
  8846. </parameter>
  8847. <parameter name="clockCycle">
  8848. <type>int</type>
  8849. <value>0</value>
  8850. <derived>false</derived>
  8851. <enabled>true</enabled>
  8852. <visible>true</visible>
  8853. <valid>true</valid>
  8854. </parameter>
  8855. <parameter name="enabled">
  8856. <type>boolean</type>
  8857. <value>false</value>
  8858. <derived>false</derived>
  8859. <enabled>true</enabled>
  8860. <visible>false</visible>
  8861. <valid>true</valid>
  8862. </parameter>
  8863. <parameter name="maxAddressWidth">
  8864. <type>int</type>
  8865. <value>8</value>
  8866. <derived>false</derived>
  8867. <enabled>true</enabled>
  8868. <visible>false</visible>
  8869. <valid>true</valid>
  8870. </parameter>
  8871. <parameter name="opcodeExtension">
  8872. <type>int</type>
  8873. <value>0</value>
  8874. <derived>true</derived>
  8875. <enabled>true</enabled>
  8876. <visible>false</visible>
  8877. <valid>true</valid>
  8878. </parameter>
  8879. <parameter name="sharedCombinationalAndMulticycle">
  8880. <type>boolean</type>
  8881. <value>false</value>
  8882. <derived>false</derived>
  8883. <enabled>true</enabled>
  8884. <visible>false</visible>
  8885. <valid>true</valid>
  8886. </parameter>
  8887. <parameter name="deviceFamily">
  8888. <type>java.lang.String</type>
  8889. <value>UNKNOWN</value>
  8890. <derived>false</derived>
  8891. <enabled>true</enabled>
  8892. <visible>true</visible>
  8893. <valid>true</valid>
  8894. </parameter>
  8895. <parameter name="generateLegacySim">
  8896. <type>boolean</type>
  8897. <value>false</value>
  8898. <derived>false</derived>
  8899. <enabled>true</enabled>
  8900. <visible>true</visible>
  8901. <valid>true</valid>
  8902. </parameter>
  8903. <type>nios_custom_instruction</type>
  8904. <isStart>true</isStart>
  8905. <port>
  8906. <name>comb_ci_master_dataa</name>
  8907. <direction>Output</direction>
  8908. <width>32</width>
  8909. <role>dataa</role>
  8910. </port>
  8911. <port>
  8912. <name>comb_ci_master_datab</name>
  8913. <direction>Output</direction>
  8914. <width>32</width>
  8915. <role>datab</role>
  8916. </port>
  8917. <port>
  8918. <name>comb_ci_master_result</name>
  8919. <direction>Input</direction>
  8920. <width>32</width>
  8921. <role>result</role>
  8922. </port>
  8923. <port>
  8924. <name>comb_ci_master_n</name>
  8925. <direction>Output</direction>
  8926. <width>8</width>
  8927. <role>n</role>
  8928. </port>
  8929. <port>
  8930. <name>comb_ci_master_readra</name>
  8931. <direction>Output</direction>
  8932. <width>1</width>
  8933. <role>readra</role>
  8934. </port>
  8935. <port>
  8936. <name>comb_ci_master_readrb</name>
  8937. <direction>Output</direction>
  8938. <width>1</width>
  8939. <role>readrb</role>
  8940. </port>
  8941. <port>
  8942. <name>comb_ci_master_writerc</name>
  8943. <direction>Output</direction>
  8944. <width>1</width>
  8945. <role>writerc</role>
  8946. </port>
  8947. <port>
  8948. <name>comb_ci_master_a</name>
  8949. <direction>Output</direction>
  8950. <width>5</width>
  8951. <role>a</role>
  8952. </port>
  8953. <port>
  8954. <name>comb_ci_master_b</name>
  8955. <direction>Output</direction>
  8956. <width>5</width>
  8957. <role>b</role>
  8958. </port>
  8959. <port>
  8960. <name>comb_ci_master_c</name>
  8961. <direction>Output</direction>
  8962. <width>5</width>
  8963. <role>c</role>
  8964. </port>
  8965. <port>
  8966. <name>comb_ci_master_ipending</name>
  8967. <direction>Output</direction>
  8968. <width>32</width>
  8969. <role>ipending</role>
  8970. </port>
  8971. <port>
  8972. <name>comb_ci_master_estatus</name>
  8973. <direction>Output</direction>
  8974. <width>1</width>
  8975. <role>estatus</role>
  8976. </port>
  8977. </interface>
  8978. <interface
  8979. name="multi_ci_master"
  8980. kind="nios_custom_instruction_master"
  8981. version="18.1">
  8982. <!-- The connection points exposed by a module instance for the
  8983. particular module parameters. Connection points and their
  8984. parameters are a RESULT of the module parameters. -->
  8985. <parameter name="CIName">
  8986. <type>java.lang.String</type>
  8987. <value></value>
  8988. <derived>true</derived>
  8989. <enabled>true</enabled>
  8990. <visible>false</visible>
  8991. <valid>true</valid>
  8992. </parameter>
  8993. <parameter name="addressWidth">
  8994. <type>int</type>
  8995. <value>8</value>
  8996. <derived>false</derived>
  8997. <enabled>true</enabled>
  8998. <visible>false</visible>
  8999. <valid>true</valid>
  9000. </parameter>
  9001. <parameter name="clockCycle">
  9002. <type>int</type>
  9003. <value>0</value>
  9004. <derived>false</derived>
  9005. <enabled>true</enabled>
  9006. <visible>true</visible>
  9007. <valid>true</valid>
  9008. </parameter>
  9009. <parameter name="enabled">
  9010. <type>boolean</type>
  9011. <value>false</value>
  9012. <derived>false</derived>
  9013. <enabled>true</enabled>
  9014. <visible>false</visible>
  9015. <valid>true</valid>
  9016. </parameter>
  9017. <parameter name="maxAddressWidth">
  9018. <type>int</type>
  9019. <value>8</value>
  9020. <derived>false</derived>
  9021. <enabled>true</enabled>
  9022. <visible>false</visible>
  9023. <valid>true</valid>
  9024. </parameter>
  9025. <parameter name="opcodeExtension">
  9026. <type>int</type>
  9027. <value>0</value>
  9028. <derived>true</derived>
  9029. <enabled>true</enabled>
  9030. <visible>false</visible>
  9031. <valid>true</valid>
  9032. </parameter>
  9033. <parameter name="sharedCombinationalAndMulticycle">
  9034. <type>boolean</type>
  9035. <value>false</value>
  9036. <derived>false</derived>
  9037. <enabled>true</enabled>
  9038. <visible>false</visible>
  9039. <valid>true</valid>
  9040. </parameter>
  9041. <parameter name="deviceFamily">
  9042. <type>java.lang.String</type>
  9043. <value>UNKNOWN</value>
  9044. <derived>false</derived>
  9045. <enabled>true</enabled>
  9046. <visible>true</visible>
  9047. <valid>true</valid>
  9048. </parameter>
  9049. <parameter name="generateLegacySim">
  9050. <type>boolean</type>
  9051. <value>false</value>
  9052. <derived>false</derived>
  9053. <enabled>true</enabled>
  9054. <visible>true</visible>
  9055. <valid>true</valid>
  9056. </parameter>
  9057. <type>nios_custom_instruction</type>
  9058. <isStart>true</isStart>
  9059. <port>
  9060. <name>multi_ci_master_clk</name>
  9061. <direction>Output</direction>
  9062. <width>1</width>
  9063. <role>clk</role>
  9064. </port>
  9065. <port>
  9066. <name>multi_ci_master_reset</name>
  9067. <direction>Output</direction>
  9068. <width>1</width>
  9069. <role>reset</role>
  9070. </port>
  9071. <port>
  9072. <name>multi_ci_master_clken</name>
  9073. <direction>Output</direction>
  9074. <width>1</width>
  9075. <role>clk_en</role>
  9076. </port>
  9077. <port>
  9078. <name>multi_ci_master_reset_req</name>
  9079. <direction>Output</direction>
  9080. <width>1</width>
  9081. <role>reset_req</role>
  9082. </port>
  9083. <port>
  9084. <name>multi_ci_master_start</name>
  9085. <direction>Output</direction>
  9086. <width>1</width>
  9087. <role>start</role>
  9088. </port>
  9089. <port>
  9090. <name>multi_ci_master_done</name>
  9091. <direction>Input</direction>
  9092. <width>1</width>
  9093. <role>done</role>
  9094. </port>
  9095. <port>
  9096. <name>multi_ci_master_dataa</name>
  9097. <direction>Output</direction>
  9098. <width>32</width>
  9099. <role>dataa</role>
  9100. </port>
  9101. <port>
  9102. <name>multi_ci_master_datab</name>
  9103. <direction>Output</direction>
  9104. <width>32</width>
  9105. <role>datab</role>
  9106. </port>
  9107. <port>
  9108. <name>multi_ci_master_result</name>
  9109. <direction>Input</direction>
  9110. <width>32</width>
  9111. <role>result</role>
  9112. </port>
  9113. <port>
  9114. <name>multi_ci_master_n</name>
  9115. <direction>Output</direction>
  9116. <width>8</width>
  9117. <role>n</role>
  9118. </port>
  9119. <port>
  9120. <name>multi_ci_master_readra</name>
  9121. <direction>Output</direction>
  9122. <width>1</width>
  9123. <role>readra</role>
  9124. </port>
  9125. <port>
  9126. <name>multi_ci_master_readrb</name>
  9127. <direction>Output</direction>
  9128. <width>1</width>
  9129. <role>readrb</role>
  9130. </port>
  9131. <port>
  9132. <name>multi_ci_master_writerc</name>
  9133. <direction>Output</direction>
  9134. <width>1</width>
  9135. <role>writerc</role>
  9136. </port>
  9137. <port>
  9138. <name>multi_ci_master_a</name>
  9139. <direction>Output</direction>
  9140. <width>5</width>
  9141. <role>a</role>
  9142. </port>
  9143. <port>
  9144. <name>multi_ci_master_b</name>
  9145. <direction>Output</direction>
  9146. <width>5</width>
  9147. <role>b</role>
  9148. </port>
  9149. <port>
  9150. <name>multi_ci_master_c</name>
  9151. <direction>Output</direction>
  9152. <width>5</width>
  9153. <role>c</role>
  9154. </port>
  9155. <customInstruction>
  9156. <isBridge>false</isBridge>
  9157. <moduleName>nios2_custom_instruction_master_multi_xconnect</moduleName>
  9158. <slaveName>ci_slave</slaveName>
  9159. <name>nios2_custom_instruction_master_multi_xconnect.ci_slave</name>
  9160. <opcodeNumber>0</opcodeNumber>
  9161. <opcodeMnemonic></opcodeMnemonic>
  9162. </customInstruction>
  9163. </interface>
  9164. </module>
  9165. <module
  9166. name="nios2_custom_instruction_master_multi_xconnect"
  9167. kind="altera_customins_xconnect"
  9168. version="18.1"
  9169. path="nios2_custom_instruction_master_multi_xconnect">
  9170. <!-- Describes a single module. Module parameters are
  9171. the requested settings for a module instance. -->
  9172. <parameter name="MASTER_INDEX">
  9173. <type>[Ljava.lang.Integer;</type>
  9174. <value>0</value>
  9175. <derived>false</derived>
  9176. <enabled>true</enabled>
  9177. <visible>true</visible>
  9178. <valid>true</valid>
  9179. </parameter>
  9180. <parameter name="OPCODE_L">
  9181. <type>[Ljava.lang.Integer;</type>
  9182. <value>252</value>
  9183. <derived>false</derived>
  9184. <enabled>true</enabled>
  9185. <visible>true</visible>
  9186. <valid>true</valid>
  9187. </parameter>
  9188. <parameter name="OPCODE_H">
  9189. <type>[Ljava.lang.Integer;</type>
  9190. <value>256</value>
  9191. <derived>false</derived>
  9192. <enabled>true</enabled>
  9193. <visible>true</visible>
  9194. <valid>true</valid>
  9195. </parameter>
  9196. <parameter name="ENABLE_MULTICYCLE">
  9197. <type>int</type>
  9198. <value>1</value>
  9199. <derived>false</derived>
  9200. <enabled>true</enabled>
  9201. <visible>true</visible>
  9202. <valid>true</valid>
  9203. </parameter>
  9204. <parameter name="deviceFamily">
  9205. <type>java.lang.String</type>
  9206. <value>UNKNOWN</value>
  9207. <derived>false</derived>
  9208. <enabled>true</enabled>
  9209. <visible>true</visible>
  9210. <valid>true</valid>
  9211. </parameter>
  9212. <parameter name="generateLegacySim">
  9213. <type>boolean</type>
  9214. <value>false</value>
  9215. <derived>false</derived>
  9216. <enabled>true</enabled>
  9217. <visible>true</visible>
  9218. <valid>true</valid>
  9219. </parameter>
  9220. <interface name="ci_slave" kind="nios_custom_instruction_slave" version="18.1">
  9221. <!-- The connection points exposed by a module instance for the
  9222. particular module parameters. Connection points and their
  9223. parameters are a RESULT of the module parameters. -->
  9224. <parameter name="CIName">
  9225. <type>java.lang.String</type>
  9226. <value></value>
  9227. <derived>true</derived>
  9228. <enabled>true</enabled>
  9229. <visible>false</visible>
  9230. <valid>true</valid>
  9231. </parameter>
  9232. <parameter name="NPort">
  9233. <type>int</type>
  9234. <value>8</value>
  9235. <derived>true</derived>
  9236. <enabled>true</enabled>
  9237. <visible>false</visible>
  9238. <valid>true</valid>
  9239. </parameter>
  9240. <parameter name="clockCycle">
  9241. <type>int</type>
  9242. <value>0</value>
  9243. <derived>false</derived>
  9244. <enabled>true</enabled>
  9245. <visible>true</visible>
  9246. <valid>true</valid>
  9247. </parameter>
  9248. <parameter name="clockCycleType">
  9249. <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
  9250. <value>VARIABLE</value>
  9251. <derived>true</derived>
  9252. <enabled>true</enabled>
  9253. <visible>true</visible>
  9254. <valid>true</valid>
  9255. </parameter>
  9256. <parameter name="opcodeExtension">
  9257. <type>int</type>
  9258. <value>0</value>
  9259. <derived>true</derived>
  9260. <enabled>true</enabled>
  9261. <visible>false</visible>
  9262. <valid>true</valid>
  9263. </parameter>
  9264. <parameter name="opcodeExtensionLocked">
  9265. <type>boolean</type>
  9266. <value>false</value>
  9267. <derived>false</derived>
  9268. <enabled>true</enabled>
  9269. <visible>false</visible>
  9270. <valid>true</valid>
  9271. </parameter>
  9272. <parameter name="opcodeExtensionLockedValue">
  9273. <type>int</type>
  9274. <value>0</value>
  9275. <derived>false</derived>
  9276. <enabled>true</enabled>
  9277. <visible>false</visible>
  9278. <valid>true</valid>
  9279. </parameter>
  9280. <parameter name="operands">
  9281. <type>int</type>
  9282. <value>2</value>
  9283. <derived>false</derived>
  9284. <enabled>true</enabled>
  9285. <visible>true</visible>
  9286. <valid>true</valid>
  9287. </parameter>
  9288. <parameter name="deviceFamily">
  9289. <type>java.lang.String</type>
  9290. <value>UNKNOWN</value>
  9291. <derived>false</derived>
  9292. <enabled>true</enabled>
  9293. <visible>true</visible>
  9294. <valid>true</valid>
  9295. </parameter>
  9296. <parameter name="generateLegacySim">
  9297. <type>boolean</type>
  9298. <value>false</value>
  9299. <derived>false</derived>
  9300. <enabled>true</enabled>
  9301. <visible>true</visible>
  9302. <valid>true</valid>
  9303. </parameter>
  9304. <type>nios_custom_instruction</type>
  9305. <isStart>false</isStart>
  9306. <port>
  9307. <name>ci_slave_dataa</name>
  9308. <direction>Input</direction>
  9309. <width>32</width>
  9310. <role>dataa</role>
  9311. </port>
  9312. <port>
  9313. <name>ci_slave_datab</name>
  9314. <direction>Input</direction>
  9315. <width>32</width>
  9316. <role>datab</role>
  9317. </port>
  9318. <port>
  9319. <name>ci_slave_result</name>
  9320. <direction>Output</direction>
  9321. <width>32</width>
  9322. <role>result</role>
  9323. </port>
  9324. <port>
  9325. <name>ci_slave_n</name>
  9326. <direction>Input</direction>
  9327. <width>8</width>
  9328. <role>n</role>
  9329. </port>
  9330. <port>
  9331. <name>ci_slave_readra</name>
  9332. <direction>Input</direction>
  9333. <width>1</width>
  9334. <role>readra</role>
  9335. </port>
  9336. <port>
  9337. <name>ci_slave_readrb</name>
  9338. <direction>Input</direction>
  9339. <width>1</width>
  9340. <role>readrb</role>
  9341. </port>
  9342. <port>
  9343. <name>ci_slave_writerc</name>
  9344. <direction>Input</direction>
  9345. <width>1</width>
  9346. <role>writerc</role>
  9347. </port>
  9348. <port>
  9349. <name>ci_slave_a</name>
  9350. <direction>Input</direction>
  9351. <width>5</width>
  9352. <role>a</role>
  9353. </port>
  9354. <port>
  9355. <name>ci_slave_b</name>
  9356. <direction>Input</direction>
  9357. <width>5</width>
  9358. <role>b</role>
  9359. </port>
  9360. <port>
  9361. <name>ci_slave_c</name>
  9362. <direction>Input</direction>
  9363. <width>5</width>
  9364. <role>c</role>
  9365. </port>
  9366. <port>
  9367. <name>ci_slave_ipending</name>
  9368. <direction>Input</direction>
  9369. <width>32</width>
  9370. <role>ipending</role>
  9371. </port>
  9372. <port>
  9373. <name>ci_slave_estatus</name>
  9374. <direction>Input</direction>
  9375. <width>1</width>
  9376. <role>estatus</role>
  9377. </port>
  9378. <port>
  9379. <name>ci_slave_clk</name>
  9380. <direction>Input</direction>
  9381. <width>1</width>
  9382. <role>clk</role>
  9383. </port>
  9384. <port>
  9385. <name>ci_slave_reset</name>
  9386. <direction>Input</direction>
  9387. <width>1</width>
  9388. <role>reset</role>
  9389. </port>
  9390. <port>
  9391. <name>ci_slave_clken</name>
  9392. <direction>Input</direction>
  9393. <width>1</width>
  9394. <role>clk_en</role>
  9395. </port>
  9396. <port>
  9397. <name>ci_slave_reset_req</name>
  9398. <direction>Input</direction>
  9399. <width>1</width>
  9400. <role>reset_req</role>
  9401. </port>
  9402. <port>
  9403. <name>ci_slave_start</name>
  9404. <direction>Input</direction>
  9405. <width>1</width>
  9406. <role>start</role>
  9407. </port>
  9408. <port>
  9409. <name>ci_slave_done</name>
  9410. <direction>Output</direction>
  9411. <width>1</width>
  9412. <role>done</role>
  9413. </port>
  9414. </interface>
  9415. <interface
  9416. name="ci_master0"
  9417. kind="nios_custom_instruction_master"
  9418. version="18.1">
  9419. <!-- The connection points exposed by a module instance for the
  9420. particular module parameters. Connection points and their
  9421. parameters are a RESULT of the module parameters. -->
  9422. <parameter name="CIName">
  9423. <type>java.lang.String</type>
  9424. <value></value>
  9425. <derived>true</derived>
  9426. <enabled>true</enabled>
  9427. <visible>false</visible>
  9428. <valid>true</valid>
  9429. </parameter>
  9430. <parameter name="addressWidth">
  9431. <type>int</type>
  9432. <value>8</value>
  9433. <derived>false</derived>
  9434. <enabled>true</enabled>
  9435. <visible>false</visible>
  9436. <valid>true</valid>
  9437. </parameter>
  9438. <parameter name="clockCycle">
  9439. <type>int</type>
  9440. <value>0</value>
  9441. <derived>false</derived>
  9442. <enabled>true</enabled>
  9443. <visible>true</visible>
  9444. <valid>true</valid>
  9445. </parameter>
  9446. <parameter name="enabled">
  9447. <type>boolean</type>
  9448. <value>false</value>
  9449. <derived>false</derived>
  9450. <enabled>true</enabled>
  9451. <visible>false</visible>
  9452. <valid>true</valid>
  9453. </parameter>
  9454. <parameter name="maxAddressWidth">
  9455. <type>int</type>
  9456. <value>8</value>
  9457. <derived>false</derived>
  9458. <enabled>true</enabled>
  9459. <visible>false</visible>
  9460. <valid>true</valid>
  9461. </parameter>
  9462. <parameter name="opcodeExtension">
  9463. <type>int</type>
  9464. <value>0</value>
  9465. <derived>true</derived>
  9466. <enabled>true</enabled>
  9467. <visible>false</visible>
  9468. <valid>true</valid>
  9469. </parameter>
  9470. <parameter name="sharedCombinationalAndMulticycle">
  9471. <type>boolean</type>
  9472. <value>false</value>
  9473. <derived>false</derived>
  9474. <enabled>true</enabled>
  9475. <visible>false</visible>
  9476. <valid>true</valid>
  9477. </parameter>
  9478. <parameter name="deviceFamily">
  9479. <type>java.lang.String</type>
  9480. <value>UNKNOWN</value>
  9481. <derived>false</derived>
  9482. <enabled>true</enabled>
  9483. <visible>true</visible>
  9484. <valid>true</valid>
  9485. </parameter>
  9486. <parameter name="generateLegacySim">
  9487. <type>boolean</type>
  9488. <value>false</value>
  9489. <derived>false</derived>
  9490. <enabled>true</enabled>
  9491. <visible>true</visible>
  9492. <valid>true</valid>
  9493. </parameter>
  9494. <type>nios_custom_instruction</type>
  9495. <isStart>true</isStart>
  9496. <port>
  9497. <name>ci_master0_dataa</name>
  9498. <direction>Output</direction>
  9499. <width>32</width>
  9500. <role>dataa</role>
  9501. </port>
  9502. <port>
  9503. <name>ci_master0_datab</name>
  9504. <direction>Output</direction>
  9505. <width>32</width>
  9506. <role>datab</role>
  9507. </port>
  9508. <port>
  9509. <name>ci_master0_result</name>
  9510. <direction>Input</direction>
  9511. <width>32</width>
  9512. <role>result</role>
  9513. </port>
  9514. <port>
  9515. <name>ci_master0_n</name>
  9516. <direction>Output</direction>
  9517. <width>8</width>
  9518. <role>n</role>
  9519. </port>
  9520. <port>
  9521. <name>ci_master0_readra</name>
  9522. <direction>Output</direction>
  9523. <width>1</width>
  9524. <role>readra</role>
  9525. </port>
  9526. <port>
  9527. <name>ci_master0_readrb</name>
  9528. <direction>Output</direction>
  9529. <width>1</width>
  9530. <role>readrb</role>
  9531. </port>
  9532. <port>
  9533. <name>ci_master0_writerc</name>
  9534. <direction>Output</direction>
  9535. <width>1</width>
  9536. <role>writerc</role>
  9537. </port>
  9538. <port>
  9539. <name>ci_master0_a</name>
  9540. <direction>Output</direction>
  9541. <width>5</width>
  9542. <role>a</role>
  9543. </port>
  9544. <port>
  9545. <name>ci_master0_b</name>
  9546. <direction>Output</direction>
  9547. <width>5</width>
  9548. <role>b</role>
  9549. </port>
  9550. <port>
  9551. <name>ci_master0_c</name>
  9552. <direction>Output</direction>
  9553. <width>5</width>
  9554. <role>c</role>
  9555. </port>
  9556. <port>
  9557. <name>ci_master0_ipending</name>
  9558. <direction>Output</direction>
  9559. <width>32</width>
  9560. <role>ipending</role>
  9561. </port>
  9562. <port>
  9563. <name>ci_master0_estatus</name>
  9564. <direction>Output</direction>
  9565. <width>1</width>
  9566. <role>estatus</role>
  9567. </port>
  9568. <port>
  9569. <name>ci_master0_clk</name>
  9570. <direction>Output</direction>
  9571. <width>1</width>
  9572. <role>clk</role>
  9573. </port>
  9574. <port>
  9575. <name>ci_master0_reset</name>
  9576. <direction>Output</direction>
  9577. <width>1</width>
  9578. <role>reset</role>
  9579. </port>
  9580. <port>
  9581. <name>ci_master0_clken</name>
  9582. <direction>Output</direction>
  9583. <width>1</width>
  9584. <role>clk_en</role>
  9585. </port>
  9586. <port>
  9587. <name>ci_master0_reset_req</name>
  9588. <direction>Output</direction>
  9589. <width>1</width>
  9590. <role>reset_req</role>
  9591. </port>
  9592. <port>
  9593. <name>ci_master0_start</name>
  9594. <direction>Output</direction>
  9595. <width>1</width>
  9596. <role>start</role>
  9597. </port>
  9598. <port>
  9599. <name>ci_master0_done</name>
  9600. <direction>Input</direction>
  9601. <width>1</width>
  9602. <role>done</role>
  9603. </port>
  9604. <customInstruction>
  9605. <isBridge>false</isBridge>
  9606. <moduleName>nios2_custom_instruction_master_multi_slave_translator0</moduleName>
  9607. <slaveName>ci_slave</slaveName>
  9608. <name>nios2_custom_instruction_master_multi_slave_translator0.ci_slave</name>
  9609. <opcodeNumber>0</opcodeNumber>
  9610. <opcodeMnemonic></opcodeMnemonic>
  9611. </customInstruction>
  9612. </interface>
  9613. </module>
  9614. <module
  9615. name="nios2_custom_instruction_master_multi_slave_translator0"
  9616. kind="altera_customins_slave_translator"
  9617. version="18.1"
  9618. path="nios2_custom_instruction_master_multi_slave_translator0">
  9619. <!-- Describes a single module. Module parameters are
  9620. the requested settings for a module instance. -->
  9621. <parameter name="USE_DATAA">
  9622. <type>int</type>
  9623. <value>1</value>
  9624. <derived>false</derived>
  9625. <enabled>true</enabled>
  9626. <visible>true</visible>
  9627. <valid>true</valid>
  9628. </parameter>
  9629. <parameter name="USE_DATAB">
  9630. <type>int</type>
  9631. <value>1</value>
  9632. <derived>false</derived>
  9633. <enabled>true</enabled>
  9634. <visible>true</visible>
  9635. <valid>true</valid>
  9636. </parameter>
  9637. <parameter name="USE_N">
  9638. <type>int</type>
  9639. <value>1</value>
  9640. <derived>false</derived>
  9641. <enabled>true</enabled>
  9642. <visible>true</visible>
  9643. <valid>true</valid>
  9644. </parameter>
  9645. <parameter name="N_WIDTH">
  9646. <type>int</type>
  9647. <value>2</value>
  9648. <derived>false</derived>
  9649. <enabled>true</enabled>
  9650. <visible>true</visible>
  9651. <valid>true</valid>
  9652. </parameter>
  9653. <parameter name="USE_READRA">
  9654. <type>int</type>
  9655. <value>0</value>
  9656. <derived>false</derived>
  9657. <enabled>true</enabled>
  9658. <visible>true</visible>
  9659. <valid>true</valid>
  9660. </parameter>
  9661. <parameter name="USE_READRB">
  9662. <type>int</type>
  9663. <value>0</value>
  9664. <derived>false</derived>
  9665. <enabled>true</enabled>
  9666. <visible>true</visible>
  9667. <valid>true</valid>
  9668. </parameter>
  9669. <parameter name="USE_WRITERC">
  9670. <type>int</type>
  9671. <value>0</value>
  9672. <derived>false</derived>
  9673. <enabled>true</enabled>
  9674. <visible>true</visible>
  9675. <valid>true</valid>
  9676. </parameter>
  9677. <parameter name="USE_IPENDING">
  9678. <type>int</type>
  9679. <value>0</value>
  9680. <derived>false</derived>
  9681. <enabled>true</enabled>
  9682. <visible>true</visible>
  9683. <valid>true</valid>
  9684. </parameter>
  9685. <parameter name="USE_ESTATUS">
  9686. <type>int</type>
  9687. <value>0</value>
  9688. <derived>false</derived>
  9689. <enabled>true</enabled>
  9690. <visible>true</visible>
  9691. <valid>true</valid>
  9692. </parameter>
  9693. <parameter name="USE_RESET_REQUEST">
  9694. <type>int</type>
  9695. <value>0</value>
  9696. <derived>false</derived>
  9697. <enabled>true</enabled>
  9698. <visible>true</visible>
  9699. <valid>true</valid>
  9700. </parameter>
  9701. <parameter name="ENABLE_MULTICYCLE">
  9702. <type>int</type>
  9703. <value>1</value>
  9704. <derived>false</derived>
  9705. <enabled>true</enabled>
  9706. <visible>true</visible>
  9707. <valid>true</valid>
  9708. </parameter>
  9709. <parameter name="USE_START">
  9710. <type>int</type>
  9711. <value>1</value>
  9712. <derived>false</derived>
  9713. <enabled>true</enabled>
  9714. <visible>true</visible>
  9715. <valid>true</valid>
  9716. </parameter>
  9717. <parameter name="USE_DONE">
  9718. <type>int</type>
  9719. <value>1</value>
  9720. <derived>false</derived>
  9721. <enabled>true</enabled>
  9722. <visible>true</visible>
  9723. <valid>true</valid>
  9724. </parameter>
  9725. <parameter name="NUM_FIXED_CYCLES">
  9726. <type>int</type>
  9727. <value>1</value>
  9728. <derived>false</derived>
  9729. <enabled>true</enabled>
  9730. <visible>true</visible>
  9731. <valid>true</valid>
  9732. </parameter>
  9733. <parameter name="deviceFamily">
  9734. <type>java.lang.String</type>
  9735. <value>UNKNOWN</value>
  9736. <derived>false</derived>
  9737. <enabled>true</enabled>
  9738. <visible>true</visible>
  9739. <valid>true</valid>
  9740. </parameter>
  9741. <parameter name="generateLegacySim">
  9742. <type>boolean</type>
  9743. <value>false</value>
  9744. <derived>false</derived>
  9745. <enabled>true</enabled>
  9746. <visible>true</visible>
  9747. <valid>true</valid>
  9748. </parameter>
  9749. <interface name="ci_slave" kind="nios_custom_instruction_slave" version="18.1">
  9750. <!-- The connection points exposed by a module instance for the
  9751. particular module parameters. Connection points and their
  9752. parameters are a RESULT of the module parameters. -->
  9753. <parameter name="CIName">
  9754. <type>java.lang.String</type>
  9755. <value></value>
  9756. <derived>true</derived>
  9757. <enabled>true</enabled>
  9758. <visible>false</visible>
  9759. <valid>true</valid>
  9760. </parameter>
  9761. <parameter name="NPort">
  9762. <type>int</type>
  9763. <value>8</value>
  9764. <derived>true</derived>
  9765. <enabled>true</enabled>
  9766. <visible>false</visible>
  9767. <valid>true</valid>
  9768. </parameter>
  9769. <parameter name="clockCycle">
  9770. <type>int</type>
  9771. <value>0</value>
  9772. <derived>false</derived>
  9773. <enabled>true</enabled>
  9774. <visible>true</visible>
  9775. <valid>true</valid>
  9776. </parameter>
  9777. <parameter name="clockCycleType">
  9778. <type>com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType</type>
  9779. <value>VARIABLE</value>
  9780. <derived>true</derived>
  9781. <enabled>true</enabled>
  9782. <visible>true</visible>
  9783. <valid>true</valid>
  9784. </parameter>
  9785. <parameter name="opcodeExtension">
  9786. <type>int</type>
  9787. <value>0</value>
  9788. <derived>true</derived>
  9789. <enabled>true</enabled>
  9790. <visible>false</visible>
  9791. <valid>true</valid>
  9792. </parameter>
  9793. <parameter name="opcodeExtensionLocked">
  9794. <type>boolean</type>
  9795. <value>false</value>
  9796. <derived>false</derived>
  9797. <enabled>true</enabled>
  9798. <visible>false</visible>
  9799. <valid>true</valid>
  9800. </parameter>
  9801. <parameter name="opcodeExtensionLockedValue">
  9802. <type>int</type>
  9803. <value>0</value>
  9804. <derived>false</derived>
  9805. <enabled>true</enabled>
  9806. <visible>false</visible>
  9807. <valid>true</valid>
  9808. </parameter>
  9809. <parameter name="operands">
  9810. <type>int</type>
  9811. <value>2</value>
  9812. <derived>false</derived>
  9813. <enabled>true</enabled>
  9814. <visible>true</visible>
  9815. <valid>true</valid>
  9816. </parameter>
  9817. <parameter name="deviceFamily">
  9818. <type>java.lang.String</type>
  9819. <value>UNKNOWN</value>
  9820. <derived>false</derived>
  9821. <enabled>true</enabled>
  9822. <visible>true</visible>
  9823. <valid>true</valid>
  9824. </parameter>
  9825. <parameter name="generateLegacySim">
  9826. <type>boolean</type>
  9827. <value>false</value>
  9828. <derived>false</derived>
  9829. <enabled>true</enabled>
  9830. <visible>true</visible>
  9831. <valid>true</valid>
  9832. </parameter>
  9833. <type>nios_custom_instruction</type>
  9834. <isStart>false</isStart>
  9835. <port>
  9836. <name>ci_slave_dataa</name>
  9837. <direction>Input</direction>
  9838. <width>32</width>
  9839. <role>dataa</role>
  9840. </port>
  9841. <port>
  9842. <name>ci_slave_datab</name>
  9843. <direction>Input</direction>
  9844. <width>32</width>
  9845. <role>datab</role>
  9846. </port>
  9847. <port>
  9848. <name>ci_slave_result</name>
  9849. <direction>Output</direction>
  9850. <width>32</width>
  9851. <role>result</role>
  9852. </port>
  9853. <port>
  9854. <name>ci_slave_n</name>
  9855. <direction>Input</direction>
  9856. <width>8</width>
  9857. <role>n</role>
  9858. </port>
  9859. <port>
  9860. <name>ci_slave_readra</name>
  9861. <direction>Input</direction>
  9862. <width>1</width>
  9863. <role>readra</role>
  9864. </port>
  9865. <port>
  9866. <name>ci_slave_readrb</name>
  9867. <direction>Input</direction>
  9868. <width>1</width>
  9869. <role>readrb</role>
  9870. </port>
  9871. <port>
  9872. <name>ci_slave_writerc</name>
  9873. <direction>Input</direction>
  9874. <width>1</width>
  9875. <role>writerc</role>
  9876. </port>
  9877. <port>
  9878. <name>ci_slave_a</name>
  9879. <direction>Input</direction>
  9880. <width>5</width>
  9881. <role>a</role>
  9882. </port>
  9883. <port>
  9884. <name>ci_slave_b</name>
  9885. <direction>Input</direction>
  9886. <width>5</width>
  9887. <role>b</role>
  9888. </port>
  9889. <port>
  9890. <name>ci_slave_c</name>
  9891. <direction>Input</direction>
  9892. <width>5</width>
  9893. <role>c</role>
  9894. </port>
  9895. <port>
  9896. <name>ci_slave_ipending</name>
  9897. <direction>Input</direction>
  9898. <width>32</width>
  9899. <role>ipending</role>
  9900. </port>
  9901. <port>
  9902. <name>ci_slave_estatus</name>
  9903. <direction>Input</direction>
  9904. <width>1</width>
  9905. <role>estatus</role>
  9906. </port>
  9907. <port>
  9908. <name>ci_slave_clk</name>
  9909. <direction>Input</direction>
  9910. <width>1</width>
  9911. <role>clk</role>
  9912. </port>
  9913. <port>
  9914. <name>ci_slave_clken</name>
  9915. <direction>Input</direction>
  9916. <width>1</width>
  9917. <role>clk_en</role>
  9918. </port>
  9919. <port>
  9920. <name>ci_slave_reset_req</name>
  9921. <direction>Input</direction>
  9922. <width>1</width>
  9923. <role>reset_req</role>
  9924. </port>
  9925. <port>
  9926. <name>ci_slave_reset</name>
  9927. <direction>Input</direction>
  9928. <width>1</width>
  9929. <role>reset</role>
  9930. </port>
  9931. <port>
  9932. <name>ci_slave_start</name>
  9933. <direction>Input</direction>
  9934. <width>1</width>
  9935. <role>start</role>
  9936. </port>
  9937. <port>
  9938. <name>ci_slave_done</name>
  9939. <direction>Output</direction>
  9940. <width>1</width>
  9941. <role>done</role>
  9942. </port>
  9943. </interface>
  9944. <interface name="ci_master" kind="nios_custom_instruction_master" version="18.1">
  9945. <!-- The connection points exposed by a module instance for the
  9946. particular module parameters. Connection points and their
  9947. parameters are a RESULT of the module parameters. -->
  9948. <parameter name="CIName">
  9949. <type>java.lang.String</type>
  9950. <value></value>
  9951. <derived>true</derived>
  9952. <enabled>true</enabled>
  9953. <visible>false</visible>
  9954. <valid>true</valid>
  9955. </parameter>
  9956. <parameter name="addressWidth">
  9957. <type>int</type>
  9958. <value>8</value>
  9959. <derived>false</derived>
  9960. <enabled>true</enabled>
  9961. <visible>false</visible>
  9962. <valid>true</valid>
  9963. </parameter>
  9964. <parameter name="clockCycle">
  9965. <type>int</type>
  9966. <value>0</value>
  9967. <derived>false</derived>
  9968. <enabled>true</enabled>
  9969. <visible>true</visible>
  9970. <valid>true</valid>
  9971. </parameter>
  9972. <parameter name="enabled">
  9973. <type>boolean</type>
  9974. <value>false</value>
  9975. <derived>false</derived>
  9976. <enabled>true</enabled>
  9977. <visible>false</visible>
  9978. <valid>true</valid>
  9979. </parameter>
  9980. <parameter name="maxAddressWidth">
  9981. <type>int</type>
  9982. <value>8</value>
  9983. <derived>false</derived>
  9984. <enabled>true</enabled>
  9985. <visible>false</visible>
  9986. <valid>true</valid>
  9987. </parameter>
  9988. <parameter name="opcodeExtension">
  9989. <type>int</type>
  9990. <value>0</value>
  9991. <derived>true</derived>
  9992. <enabled>true</enabled>
  9993. <visible>false</visible>
  9994. <valid>true</valid>
  9995. </parameter>
  9996. <parameter name="sharedCombinationalAndMulticycle">
  9997. <type>boolean</type>
  9998. <value>false</value>
  9999. <derived>false</derived>
  10000. <enabled>true</enabled>
  10001. <visible>false</visible>
  10002. <valid>true</valid>
  10003. </parameter>
  10004. <parameter name="deviceFamily">
  10005. <type>java.lang.String</type>
  10006. <value>UNKNOWN</value>
  10007. <derived>false</derived>
  10008. <enabled>true</enabled>
  10009. <visible>true</visible>
  10010. <valid>true</valid>
  10011. </parameter>
  10012. <parameter name="generateLegacySim">
  10013. <type>boolean</type>
  10014. <value>false</value>
  10015. <derived>false</derived>
  10016. <enabled>true</enabled>
  10017. <visible>true</visible>
  10018. <valid>true</valid>
  10019. </parameter>
  10020. <type>nios_custom_instruction</type>
  10021. <isStart>true</isStart>
  10022. <port>
  10023. <name>ci_master_dataa</name>
  10024. <direction>Output</direction>
  10025. <width>32</width>
  10026. <role>dataa</role>
  10027. </port>
  10028. <port>
  10029. <name>ci_master_datab</name>
  10030. <direction>Output</direction>
  10031. <width>32</width>
  10032. <role>datab</role>
  10033. </port>
  10034. <port>
  10035. <name>ci_master_result</name>
  10036. <direction>Input</direction>
  10037. <width>32</width>
  10038. <role>result</role>
  10039. </port>
  10040. <port>
  10041. <name>ci_master_n</name>
  10042. <direction>Output</direction>
  10043. <width>2</width>
  10044. <role>n</role>
  10045. </port>
  10046. <port>
  10047. <name>ci_master_clk</name>
  10048. <direction>Output</direction>
  10049. <width>1</width>
  10050. <role>clk</role>
  10051. </port>
  10052. <port>
  10053. <name>ci_master_clken</name>
  10054. <direction>Output</direction>
  10055. <width>1</width>
  10056. <role>clk_en</role>
  10057. </port>
  10058. <port>
  10059. <name>ci_master_reset</name>
  10060. <direction>Output</direction>
  10061. <width>1</width>
  10062. <role>reset</role>
  10063. </port>
  10064. <port>
  10065. <name>ci_master_start</name>
  10066. <direction>Output</direction>
  10067. <width>1</width>
  10068. <role>start</role>
  10069. </port>
  10070. <port>
  10071. <name>ci_master_done</name>
  10072. <direction>Input</direction>
  10073. <width>1</width>
  10074. <role>done</role>
  10075. </port>
  10076. <customInstruction>
  10077. <isBridge>false</isBridge>
  10078. <moduleName>nios_custom_instr_floating_point_0</moduleName>
  10079. <slaveName>s1</slaveName>
  10080. <name>nios_custom_instr_floating_point_0.s1</name>
  10081. <opcodeNumber>0</opcodeNumber>
  10082. <opcodeMnemonic></opcodeMnemonic>
  10083. </customInstruction>
  10084. </interface>
  10085. </module>
  10086. <module
  10087. name="mm_interconnect_0"
  10088. kind="altera_mm_interconnect"
  10089. version="18.1"
  10090. path="mm_interconnect_0">
  10091. <!-- Describes a single module. Module parameters are
  10092. the requested settings for a module instance. -->
  10093. <assignment>
  10094. <name>interconnect_id.jtag_uart.avalon_jtag_slave</name>
  10095. <value>0</value>
  10096. </assignment>
  10097. <assignment>
  10098. <name>interconnect_id.lcd_16207.control_slave</name>
  10099. <value>1</value>
  10100. </assignment>
  10101. <assignment>
  10102. <name>interconnect_id.nios2.data_master</name>
  10103. <value>0</value>
  10104. </assignment>
  10105. <assignment>
  10106. <name>interconnect_id.nios2.debug_mem_slave</name>
  10107. <value>2</value>
  10108. </assignment>
  10109. <assignment>
  10110. <name>interconnect_id.nios2.instruction_master</name>
  10111. <value>1</value>
  10112. </assignment>
  10113. <assignment>
  10114. <name>interconnect_id.onchip_memory2.s1</name>
  10115. <value>3</value>
  10116. </assignment>
  10117. <assignment>
  10118. <name>interconnect_id.pio_BUTTON.s1</name>
  10119. <value>4</value>
  10120. </assignment>
  10121. <assignment>
  10122. <name>interconnect_id.pio_LED.s1</name>
  10123. <value>5</value>
  10124. </assignment>
  10125. <assignment>
  10126. <name>interconnect_id.pio_MATRIX.s1</name>
  10127. <value>6</value>
  10128. </assignment>
  10129. <parameter name="COMPOSE_CONTENTS">
  10130. <type>java.lang.String</type>
  10131. <value><![CDATA[add_instance {nios2_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_data_master_translator} {SYNC_RESET} {0};add_instance {nios2_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_instruction_master_translator} {SYNC_RESET} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {lcd_16207_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_DATA_W} {8};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_SETUP_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_WRITE_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_READ_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_DATA_HOLD} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_TIMING_UNITS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READ} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BEGINTRANSFER} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_debug_mem_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READ} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_LED_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_LED_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_LED_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_MATRIX_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_BUTTON_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_data_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_data_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
  10132. <address_map>
  10133. <slave
  10134. id="0"
  10135. name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0"
  10136. start="0x0000000000081088"
  10137. end="0x00000000000081090"
  10138. responds="1"
  10139. user_default="0" />
  10140. <slave
  10141. id="1"
  10142. name="lcd_16207_control_slave_translator.avalon_universal_slave_0"
  10143. start="0x0000000000081070"
  10144. end="0x00000000000081080"
  10145. responds="1"
  10146. user_default="0" />
  10147. <slave
  10148. id="2"
  10149. name="nios2_debug_mem_slave_translator.avalon_universal_slave_0"
  10150. start="0x0000000000080800"
  10151. end="0x00000000000081000"
  10152. responds="1"
  10153. user_default="0" />
  10154. <slave
  10155. id="3"
  10156. name="onchip_memory2_s1_translator.avalon_universal_slave_0"
  10157. start="0x0000000000040000"
  10158. end="0x00000000000080000"
  10159. responds="1"
  10160. user_default="0" />
  10161. <slave
  10162. id="5"
  10163. name="pio_LED_s1_translator.avalon_universal_slave_0"
  10164. start="0x0000000000081060"
  10165. end="0x00000000000081070"
  10166. responds="1"
  10167. user_default="0" />
  10168. <slave
  10169. id="6"
  10170. name="pio_MATRIX_s1_translator.avalon_universal_slave_0"
  10171. start="0x0000000000081050"
  10172. end="0x00000000000081060"
  10173. responds="1"
  10174. user_default="0" />
  10175. <slave
  10176. id="4"
  10177. name="pio_BUTTON_s1_translator.avalon_universal_slave_0"
  10178. start="0x0000000000081040"
  10179. end="0x00000000000081050"
  10180. responds="1"
  10181. user_default="0" />
  10182. </address_map>
  10183. };set_instance_parameter_value {nios2_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_instruction_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_instruction_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
  10184. <address_map>
  10185. <slave
  10186. id="0"
  10187. name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0"
  10188. start="0x0000000000081088"
  10189. end="0x00000000000081090"
  10190. responds="1"
  10191. user_default="0" />
  10192. <slave
  10193. id="1"
  10194. name="lcd_16207_control_slave_translator.avalon_universal_slave_0"
  10195. start="0x0000000000081070"
  10196. end="0x00000000000081080"
  10197. responds="1"
  10198. user_default="0" />
  10199. <slave
  10200. id="2"
  10201. name="nios2_debug_mem_slave_translator.avalon_universal_slave_0"
  10202. start="0x0000000000080800"
  10203. end="0x00000000000081000"
  10204. responds="1"
  10205. user_default="0" />
  10206. <slave
  10207. id="3"
  10208. name="onchip_memory2_s1_translator.avalon_universal_slave_0"
  10209. start="0x0000000000040000"
  10210. end="0x00000000000080000"
  10211. responds="1"
  10212. user_default="0" />
  10213. <slave
  10214. id="5"
  10215. name="pio_LED_s1_translator.avalon_universal_slave_0"
  10216. start="0x0000000000081060"
  10217. end="0x00000000000081070"
  10218. responds="1"
  10219. user_default="0" />
  10220. <slave
  10221. id="6"
  10222. name="pio_MATRIX_s1_translator.avalon_universal_slave_0"
  10223. start="0x0000000000081050"
  10224. end="0x00000000000081060"
  10225. responds="1"
  10226. user_default="0" />
  10227. <slave
  10228. id="4"
  10229. name="pio_BUTTON_s1_translator.avalon_universal_slave_0"
  10230. start="0x0000000000081040"
  10231. end="0x00000000000081050"
  10232. responds="1"
  10233. user_default="0" />
  10234. </address_map>
  10235. };set_instance_parameter_value {nios2_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {lcd_16207_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {lcd_16207_control_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {lcd_16207_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {lcd_16207_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {lcd_16207_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {lcd_16207_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {lcd_16207_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {lcd_16207_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {lcd_16207_control_slave_agent} {ID} {1};set_instance_parameter_value {lcd_16207_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {ECC_ENABLE} {0};add_instance {lcd_16207_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ID} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_LED_s1_agent} {ID} {5};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_MATRIX_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_MATRIX_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_MATRIX_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_MATRIX_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_MATRIX_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_MATRIX_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_MATRIX_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_MATRIX_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_MATRIX_s1_agent} {ID} {6};set_instance_parameter_value {pio_MATRIX_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {ECC_ENABLE} {0};add_instance {pio_MATRIX_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_BUTTON_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_BUTTON_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_BUTTON_s1_agent} {ID} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {ECC_ENABLE} {0};add_instance {pio_BUTTON_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 4 6 5 1 0 };set_instance_parameter_value {router} {CHANNEL_ID} {0001000 0000100 1000000 0100000 0010000 0000010 0000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both read both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 0x81040 0x81050 0x81060 0x81070 0x81088 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 0x81050 0x81060 0x81070 0x81080 0x81090 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {3};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {3 2 4 6 5 1 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {0001000 0000100 1000000 0100000 0010000 0000010 0000001 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both read both both both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x40000 0x80800 0x81040 0x81050 0x81060 0x81070 0x81088 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x80000 0x81000 0x81050 0x81060 0x81070 0x81080 0x81090 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {3};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_005} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_006} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {55};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_008} {ST_DATA_W} {96};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {7};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {7};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {7};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {7};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_data_master_translator.avalon_universal_master_0} {nios2_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {nios2_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_data_master_agent.rp} {qsys_mm.response};add_connection {nios2_instruction_master_translator.avalon_universal_master_0} {nios2_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {nios2_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {lcd_16207_control_slave_agent.m0} {lcd_16207_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {lcd_16207_control_slave_agent.rf_source} {lcd_16207_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {lcd_16207_control_slave_agent_rsp_fifo.out} {lcd_16207_control_slave_agent.rf_sink} {avalon_streaming};add_connection {lcd_16207_control_slave_agent.rdata_fifo_src} {lcd_16207_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {lcd_16207_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/lcd_16207_control_slave_agent.cp} {qsys_mm.command};add_connection {nios2_debug_mem_slave_agent.m0} {nios2_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_debug_mem_slave_agent.rf_source} {nios2_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent_rsp_fifo.out} {nios2_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent.rdata_fifo_src} {nios2_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {nios2_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/nios2_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {pio_MATRIX_s1_agent.m0} {pio_MATRIX_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_MATRIX_s1_agent.rf_source} {pio_MATRIX_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_MATRIX_s1_agent_rsp_fifo.out} {pio_MATRIX_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_MATRIX_s1_agent.rdata_fifo_src} {pio_MATRIX_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {pio_MATRIX_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/pio_MATRIX_s1_agent.cp} {qsys_mm.command};add_connection {pio_BUTTON_s1_agent.m0} {pio_BUTTON_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_BUTTON_s1_agent.rf_source} {pio_BUTTON_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_BUTTON_s1_agent_rsp_fifo.out} {pio_BUTTON_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_BUTTON_s1_agent.rdata_fifo_src} {pio_BUTTON_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {pio_BUTTON_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/pio_BUTTON_s1_agent.cp} {qsys_mm.command};add_connection {nios2_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {nios2_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {lcd_16207_control_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {lcd_16207_control_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {nios2_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {nios2_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {pio_MATRIX_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {pio_MATRIX_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {pio_BUTTON_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {pio_BUTTON_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src6} {cmd_mux_006.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src6/cmd_mux_006.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_003.src1} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src1/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_004.src1} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src1/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_005.src1} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src1/rsp_mux_001.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_006.src1} {rsp_mux_001.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src1/rsp_mux_001.sink6} {qsys_mm.response};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {nios2_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_reset_reset_bridge.in_reset};add_interface {nios2_data_master} {avalon} {slave};set_interface_property {nios2_data_master} {EXPORT_OF} {nios2_data_master_translator.avalon_anti_master_0};add_interface {nios2_instruction_master} {avalon} {slave};set_interface_property {nios2_instruction_master} {EXPORT_OF} {nios2_instruction_master_translator.avalon_anti_master_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {lcd_16207_control_slave} {avalon} {master};set_interface_property {lcd_16207_control_slave} {EXPORT_OF} {lcd_16207_control_slave_translator.avalon_anti_slave_0};add_interface {nios2_debug_mem_slave} {avalon} {master};set_interface_property {nios2_debug_mem_slave} {EXPORT_OF} {nios2_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {pio_BUTTON_s1} {avalon} {master};set_interface_property {pio_BUTTON_s1} {EXPORT_OF} {pio_BUTTON_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};add_interface {pio_MATRIX_s1} {avalon} {master};set_interface_property {pio_MATRIX_s1} {EXPORT_OF} {pio_MATRIX_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {0};set_module_assignment {interconnect_id.lcd_16207.control_slave} {1};set_module_assignment {interconnect_id.nios2.data_master} {0};set_module_assignment {interconnect_id.nios2.debug_mem_slave} {2};set_module_assignment {interconnect_id.nios2.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.pio_BUTTON.s1} {4};set_module_assignment {interconnect_id.pio_LED.s1} {5};set_module_assignment {interconnect_id.pio_MATRIX.s1} {6};]]></value>
  10236. <derived>false</derived>
  10237. <enabled>true</enabled>
  10238. <visible>true</visible>
  10239. <valid>true</valid>
  10240. </parameter>
  10241. <parameter name="AUTO_DEVICE_FAMILY">
  10242. <type>java.lang.String</type>
  10243. <value>CYCLONEIVE</value>
  10244. <derived>false</derived>
  10245. <enabled>true</enabled>
  10246. <visible>false</visible>
  10247. <valid>true</valid>
  10248. <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  10249. </parameter>
  10250. <parameter name="AUTO_DEVICE">
  10251. <type>java.lang.String</type>
  10252. <value>EP4CE115F29C7</value>
  10253. <derived>false</derived>
  10254. <enabled>true</enabled>
  10255. <visible>false</visible>
  10256. <valid>true</valid>
  10257. <sysinfo_type>DEVICE</sysinfo_type>
  10258. </parameter>
  10259. <parameter name="AUTO_DEVICE_SPEEDGRADE">
  10260. <type>java.lang.String</type>
  10261. <value></value>
  10262. <derived>false</derived>
  10263. <enabled>true</enabled>
  10264. <visible>false</visible>
  10265. <valid>true</valid>
  10266. <sysinfo_type>DEVICE_SPEEDGRADE</sysinfo_type>
  10267. </parameter>
  10268. <parameter name="deviceFamily">
  10269. <type>java.lang.String</type>
  10270. <value>Cyclone IV E</value>
  10271. <derived>false</derived>
  10272. <enabled>true</enabled>
  10273. <visible>false</visible>
  10274. <valid>true</valid>
  10275. <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  10276. </parameter>
  10277. <parameter name="generateLegacySim">
  10278. <type>boolean</type>
  10279. <value>false</value>
  10280. <derived>false</derived>
  10281. <enabled>true</enabled>
  10282. <visible>true</visible>
  10283. <valid>true</valid>
  10284. </parameter>
  10285. <interface name="clk_50_clk" kind="clock_sink" version="18.1">
  10286. <!-- The connection points exposed by a module instance for the
  10287. particular module parameters. Connection points and their
  10288. parameters are a RESULT of the module parameters. -->
  10289. <parameter name="externallyDriven">
  10290. <type>boolean</type>
  10291. <value>false</value>
  10292. <derived>false</derived>
  10293. <enabled>true</enabled>
  10294. <visible>false</visible>
  10295. <valid>true</valid>
  10296. </parameter>
  10297. <parameter name="ptfSchematicName">
  10298. <type>java.lang.String</type>
  10299. <value></value>
  10300. <derived>false</derived>
  10301. <enabled>true</enabled>
  10302. <visible>false</visible>
  10303. <valid>true</valid>
  10304. </parameter>
  10305. <parameter name="deviceFamily">
  10306. <type>java.lang.String</type>
  10307. <value>UNKNOWN</value>
  10308. <derived>false</derived>
  10309. <enabled>true</enabled>
  10310. <visible>true</visible>
  10311. <valid>true</valid>
  10312. </parameter>
  10313. <parameter name="generateLegacySim">
  10314. <type>boolean</type>
  10315. <value>false</value>
  10316. <derived>false</derived>
  10317. <enabled>true</enabled>
  10318. <visible>true</visible>
  10319. <valid>true</valid>
  10320. </parameter>
  10321. <type>clock</type>
  10322. <isStart>false</isStart>
  10323. <port>
  10324. <name>clk_50_clk_clk</name>
  10325. <direction>Input</direction>
  10326. <width>1</width>
  10327. <role>clk</role>
  10328. </port>
  10329. </interface>
  10330. <interface
  10331. name="nios2_reset_reset_bridge_in_reset"
  10332. kind="reset_sink"
  10333. version="18.1">
  10334. <!-- The connection points exposed by a module instance for the
  10335. particular module parameters. Connection points and their
  10336. parameters are a RESULT of the module parameters. -->
  10337. <parameter name="associatedClock">
  10338. <type>java.lang.String</type>
  10339. <value>clk_50_clk</value>
  10340. <derived>false</derived>
  10341. <enabled>true</enabled>
  10342. <visible>true</visible>
  10343. <valid>true</valid>
  10344. </parameter>
  10345. <parameter name="synchronousEdges">
  10346. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  10347. <value>DEASSERT</value>
  10348. <derived>false</derived>
  10349. <enabled>true</enabled>
  10350. <visible>true</visible>
  10351. <valid>true</valid>
  10352. </parameter>
  10353. <parameter name="deviceFamily">
  10354. <type>java.lang.String</type>
  10355. <value>UNKNOWN</value>
  10356. <derived>false</derived>
  10357. <enabled>true</enabled>
  10358. <visible>true</visible>
  10359. <valid>true</valid>
  10360. </parameter>
  10361. <parameter name="generateLegacySim">
  10362. <type>boolean</type>
  10363. <value>false</value>
  10364. <derived>false</derived>
  10365. <enabled>true</enabled>
  10366. <visible>true</visible>
  10367. <valid>true</valid>
  10368. </parameter>
  10369. <type>reset</type>
  10370. <isStart>false</isStart>
  10371. <port>
  10372. <name>nios2_reset_reset_bridge_in_reset_reset</name>
  10373. <direction>Input</direction>
  10374. <width>1</width>
  10375. <role>reset</role>
  10376. </port>
  10377. </interface>
  10378. <interface name="nios2_data_master" kind="avalon_slave" version="18.1">
  10379. <!-- The connection points exposed by a module instance for the
  10380. particular module parameters. Connection points and their
  10381. parameters are a RESULT of the module parameters. -->
  10382. <assignment>
  10383. <name>embeddedsw.configuration.isFlash</name>
  10384. <value>0</value>
  10385. </assignment>
  10386. <assignment>
  10387. <name>embeddedsw.configuration.isMemoryDevice</name>
  10388. <value>0</value>
  10389. </assignment>
  10390. <assignment>
  10391. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  10392. <value>0</value>
  10393. </assignment>
  10394. <assignment>
  10395. <name>embeddedsw.configuration.isPrintableDevice</name>
  10396. <value>0</value>
  10397. </assignment>
  10398. <assignment>
  10399. <name>merlin.flow.avalon_universal_master_0</name>
  10400. <value>avalon_universal_master_0</value>
  10401. </assignment>
  10402. <parameter name="addressAlignment">
  10403. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  10404. <value>DYNAMIC</value>
  10405. <derived>false</derived>
  10406. <enabled>true</enabled>
  10407. <visible>true</visible>
  10408. <valid>true</valid>
  10409. </parameter>
  10410. <parameter name="addressGroup">
  10411. <type>int</type>
  10412. <value>0</value>
  10413. <derived>false</derived>
  10414. <enabled>true</enabled>
  10415. <visible>false</visible>
  10416. <valid>true</valid>
  10417. </parameter>
  10418. <parameter name="addressSpan">
  10419. <type>java.math.BigInteger</type>
  10420. <value>1048576</value>
  10421. <derived>true</derived>
  10422. <enabled>true</enabled>
  10423. <visible>false</visible>
  10424. <valid>true</valid>
  10425. </parameter>
  10426. <parameter name="addressUnits">
  10427. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  10428. <value>SYMBOLS</value>
  10429. <derived>false</derived>
  10430. <enabled>true</enabled>
  10431. <visible>true</visible>
  10432. <valid>true</valid>
  10433. </parameter>
  10434. <parameter name="alwaysBurstMaxBurst">
  10435. <type>boolean</type>
  10436. <value>false</value>
  10437. <derived>false</derived>
  10438. <enabled>true</enabled>
  10439. <visible>false</visible>
  10440. <valid>true</valid>
  10441. </parameter>
  10442. <parameter name="associatedClock">
  10443. <type>java.lang.String</type>
  10444. <value>clk_50_clk</value>
  10445. <derived>false</derived>
  10446. <enabled>true</enabled>
  10447. <visible>true</visible>
  10448. <valid>true</valid>
  10449. </parameter>
  10450. <parameter name="associatedReset">
  10451. <type>java.lang.String</type>
  10452. <value>nios2_reset_reset_bridge_in_reset</value>
  10453. <derived>false</derived>
  10454. <enabled>true</enabled>
  10455. <visible>false</visible>
  10456. <valid>true</valid>
  10457. </parameter>
  10458. <parameter name="bitsPerSymbol">
  10459. <type>int</type>
  10460. <value>8</value>
  10461. <derived>false</derived>
  10462. <enabled>true</enabled>
  10463. <visible>false</visible>
  10464. <valid>true</valid>
  10465. </parameter>
  10466. <parameter name="bridgedAddressOffset">
  10467. <type>java.math.BigInteger</type>
  10468. <value>0</value>
  10469. <derived>false</derived>
  10470. <enabled>true</enabled>
  10471. <visible>false</visible>
  10472. <valid>true</valid>
  10473. </parameter>
  10474. <parameter name="bridgesToMaster">
  10475. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  10476. <value></value>
  10477. <derived>false</derived>
  10478. <enabled>true</enabled>
  10479. <visible>false</visible>
  10480. <valid>true</valid>
  10481. </parameter>
  10482. <parameter name="burstOnBurstBoundariesOnly">
  10483. <type>boolean</type>
  10484. <value>true</value>
  10485. <derived>false</derived>
  10486. <enabled>true</enabled>
  10487. <visible>true</visible>
  10488. <valid>true</valid>
  10489. </parameter>
  10490. <parameter name="burstcountUnits">
  10491. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  10492. <value>WORDS</value>
  10493. <derived>false</derived>
  10494. <enabled>true</enabled>
  10495. <visible>false</visible>
  10496. <valid>true</valid>
  10497. </parameter>
  10498. <parameter name="constantBurstBehavior">
  10499. <type>boolean</type>
  10500. <value>false</value>
  10501. <derived>false</derived>
  10502. <enabled>true</enabled>
  10503. <visible>false</visible>
  10504. <valid>true</valid>
  10505. </parameter>
  10506. <parameter name="explicitAddressSpan">
  10507. <type>java.math.BigInteger</type>
  10508. <value>0</value>
  10509. <derived>false</derived>
  10510. <enabled>true</enabled>
  10511. <visible>true</visible>
  10512. <valid>true</valid>
  10513. </parameter>
  10514. <parameter name="holdTime">
  10515. <type>int</type>
  10516. <value>0</value>
  10517. <derived>false</derived>
  10518. <enabled>true</enabled>
  10519. <visible>true</visible>
  10520. <valid>true</valid>
  10521. </parameter>
  10522. <parameter name="interleaveBursts">
  10523. <type>boolean</type>
  10524. <value>false</value>
  10525. <derived>false</derived>
  10526. <enabled>true</enabled>
  10527. <visible>false</visible>
  10528. <valid>true</valid>
  10529. </parameter>
  10530. <parameter name="isBigEndian">
  10531. <type>boolean</type>
  10532. <value>false</value>
  10533. <derived>false</derived>
  10534. <enabled>true</enabled>
  10535. <visible>false</visible>
  10536. <valid>true</valid>
  10537. </parameter>
  10538. <parameter name="isFlash">
  10539. <type>boolean</type>
  10540. <value>false</value>
  10541. <derived>false</derived>
  10542. <enabled>true</enabled>
  10543. <visible>false</visible>
  10544. <valid>true</valid>
  10545. </parameter>
  10546. <parameter name="isMemoryDevice">
  10547. <type>boolean</type>
  10548. <value>false</value>
  10549. <derived>false</derived>
  10550. <enabled>true</enabled>
  10551. <visible>true</visible>
  10552. <valid>true</valid>
  10553. </parameter>
  10554. <parameter name="isNonVolatileStorage">
  10555. <type>boolean</type>
  10556. <value>false</value>
  10557. <derived>false</derived>
  10558. <enabled>true</enabled>
  10559. <visible>true</visible>
  10560. <valid>true</valid>
  10561. </parameter>
  10562. <parameter name="linewrapBursts">
  10563. <type>boolean</type>
  10564. <value>false</value>
  10565. <derived>false</derived>
  10566. <enabled>true</enabled>
  10567. <visible>true</visible>
  10568. <valid>true</valid>
  10569. </parameter>
  10570. <parameter name="maximumPendingReadTransactions">
  10571. <type>int</type>
  10572. <value>0</value>
  10573. <derived>false</derived>
  10574. <enabled>false</enabled>
  10575. <visible>true</visible>
  10576. <valid>true</valid>
  10577. </parameter>
  10578. <parameter name="maximumPendingWriteTransactions">
  10579. <type>int</type>
  10580. <value>0</value>
  10581. <derived>false</derived>
  10582. <enabled>false</enabled>
  10583. <visible>true</visible>
  10584. <valid>true</valid>
  10585. </parameter>
  10586. <parameter name="minimumUninterruptedRunLength">
  10587. <type>int</type>
  10588. <value>1</value>
  10589. <derived>false</derived>
  10590. <enabled>true</enabled>
  10591. <visible>false</visible>
  10592. <valid>true</valid>
  10593. </parameter>
  10594. <parameter name="printableDevice">
  10595. <type>boolean</type>
  10596. <value>false</value>
  10597. <derived>false</derived>
  10598. <enabled>true</enabled>
  10599. <visible>true</visible>
  10600. <valid>true</valid>
  10601. </parameter>
  10602. <parameter name="readLatency">
  10603. <type>int</type>
  10604. <value>0</value>
  10605. <derived>false</derived>
  10606. <enabled>true</enabled>
  10607. <visible>true</visible>
  10608. <valid>true</valid>
  10609. </parameter>
  10610. <parameter name="readWaitStates">
  10611. <type>int</type>
  10612. <value>1</value>
  10613. <derived>false</derived>
  10614. <enabled>true</enabled>
  10615. <visible>false</visible>
  10616. <valid>true</valid>
  10617. </parameter>
  10618. <parameter name="readWaitTime">
  10619. <type>int</type>
  10620. <value>1</value>
  10621. <derived>false</derived>
  10622. <enabled>true</enabled>
  10623. <visible>true</visible>
  10624. <valid>true</valid>
  10625. </parameter>
  10626. <parameter name="registerIncomingSignals">
  10627. <type>boolean</type>
  10628. <value>true</value>
  10629. <derived>false</derived>
  10630. <enabled>true</enabled>
  10631. <visible>false</visible>
  10632. <valid>true</valid>
  10633. </parameter>
  10634. <parameter name="registerOutgoingSignals">
  10635. <type>boolean</type>
  10636. <value>false</value>
  10637. <derived>false</derived>
  10638. <enabled>true</enabled>
  10639. <visible>false</visible>
  10640. <valid>true</valid>
  10641. </parameter>
  10642. <parameter name="setupTime">
  10643. <type>int</type>
  10644. <value>0</value>
  10645. <derived>false</derived>
  10646. <enabled>true</enabled>
  10647. <visible>true</visible>
  10648. <valid>true</valid>
  10649. </parameter>
  10650. <parameter name="timingUnits">
  10651. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  10652. <value>Cycles</value>
  10653. <derived>false</derived>
  10654. <enabled>true</enabled>
  10655. <visible>true</visible>
  10656. <valid>true</valid>
  10657. </parameter>
  10658. <parameter name="transparentBridge">
  10659. <type>boolean</type>
  10660. <value>false</value>
  10661. <derived>false</derived>
  10662. <enabled>true</enabled>
  10663. <visible>false</visible>
  10664. <valid>true</valid>
  10665. </parameter>
  10666. <parameter name="wellBehavedWaitrequest">
  10667. <type>boolean</type>
  10668. <value>false</value>
  10669. <derived>false</derived>
  10670. <enabled>true</enabled>
  10671. <visible>false</visible>
  10672. <valid>true</valid>
  10673. </parameter>
  10674. <parameter name="writeLatency">
  10675. <type>int</type>
  10676. <value>0</value>
  10677. <derived>false</derived>
  10678. <enabled>true</enabled>
  10679. <visible>false</visible>
  10680. <valid>true</valid>
  10681. </parameter>
  10682. <parameter name="writeWaitStates">
  10683. <type>int</type>
  10684. <value>0</value>
  10685. <derived>false</derived>
  10686. <enabled>true</enabled>
  10687. <visible>false</visible>
  10688. <valid>true</valid>
  10689. </parameter>
  10690. <parameter name="writeWaitTime">
  10691. <type>int</type>
  10692. <value>0</value>
  10693. <derived>false</derived>
  10694. <enabled>true</enabled>
  10695. <visible>true</visible>
  10696. <valid>true</valid>
  10697. </parameter>
  10698. <parameter name="deviceFamily">
  10699. <type>java.lang.String</type>
  10700. <value>UNKNOWN</value>
  10701. <derived>false</derived>
  10702. <enabled>true</enabled>
  10703. <visible>true</visible>
  10704. <valid>true</valid>
  10705. </parameter>
  10706. <parameter name="generateLegacySim">
  10707. <type>boolean</type>
  10708. <value>false</value>
  10709. <derived>false</derived>
  10710. <enabled>true</enabled>
  10711. <visible>true</visible>
  10712. <valid>true</valid>
  10713. </parameter>
  10714. <type>avalon</type>
  10715. <isStart>false</isStart>
  10716. <port>
  10717. <name>nios2_data_master_address</name>
  10718. <direction>Input</direction>
  10719. <width>20</width>
  10720. <role>address</role>
  10721. </port>
  10722. <port>
  10723. <name>nios2_data_master_waitrequest</name>
  10724. <direction>Output</direction>
  10725. <width>1</width>
  10726. <role>waitrequest</role>
  10727. </port>
  10728. <port>
  10729. <name>nios2_data_master_byteenable</name>
  10730. <direction>Input</direction>
  10731. <width>4</width>
  10732. <role>byteenable</role>
  10733. </port>
  10734. <port>
  10735. <name>nios2_data_master_read</name>
  10736. <direction>Input</direction>
  10737. <width>1</width>
  10738. <role>read</role>
  10739. </port>
  10740. <port>
  10741. <name>nios2_data_master_readdata</name>
  10742. <direction>Output</direction>
  10743. <width>32</width>
  10744. <role>readdata</role>
  10745. </port>
  10746. <port>
  10747. <name>nios2_data_master_write</name>
  10748. <direction>Input</direction>
  10749. <width>1</width>
  10750. <role>write</role>
  10751. </port>
  10752. <port>
  10753. <name>nios2_data_master_writedata</name>
  10754. <direction>Input</direction>
  10755. <width>32</width>
  10756. <role>writedata</role>
  10757. </port>
  10758. <port>
  10759. <name>nios2_data_master_debugaccess</name>
  10760. <direction>Input</direction>
  10761. <width>1</width>
  10762. <role>debugaccess</role>
  10763. </port>
  10764. </interface>
  10765. <interface name="nios2_instruction_master" kind="avalon_slave" version="18.1">
  10766. <!-- The connection points exposed by a module instance for the
  10767. particular module parameters. Connection points and their
  10768. parameters are a RESULT of the module parameters. -->
  10769. <assignment>
  10770. <name>embeddedsw.configuration.isFlash</name>
  10771. <value>0</value>
  10772. </assignment>
  10773. <assignment>
  10774. <name>embeddedsw.configuration.isMemoryDevice</name>
  10775. <value>0</value>
  10776. </assignment>
  10777. <assignment>
  10778. <name>embeddedsw.configuration.isNonVolatileStorage</name>
  10779. <value>0</value>
  10780. </assignment>
  10781. <assignment>
  10782. <name>embeddedsw.configuration.isPrintableDevice</name>
  10783. <value>0</value>
  10784. </assignment>
  10785. <assignment>
  10786. <name>merlin.flow.avalon_universal_master_0</name>
  10787. <value>avalon_universal_master_0</value>
  10788. </assignment>
  10789. <parameter name="addressAlignment">
  10790. <type>com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment</type>
  10791. <value>DYNAMIC</value>
  10792. <derived>false</derived>
  10793. <enabled>true</enabled>
  10794. <visible>true</visible>
  10795. <valid>true</valid>
  10796. </parameter>
  10797. <parameter name="addressGroup">
  10798. <type>int</type>
  10799. <value>0</value>
  10800. <derived>false</derived>
  10801. <enabled>true</enabled>
  10802. <visible>false</visible>
  10803. <valid>true</valid>
  10804. </parameter>
  10805. <parameter name="addressSpan">
  10806. <type>java.math.BigInteger</type>
  10807. <value>1048576</value>
  10808. <derived>true</derived>
  10809. <enabled>true</enabled>
  10810. <visible>false</visible>
  10811. <valid>true</valid>
  10812. </parameter>
  10813. <parameter name="addressUnits">
  10814. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  10815. <value>SYMBOLS</value>
  10816. <derived>false</derived>
  10817. <enabled>true</enabled>
  10818. <visible>true</visible>
  10819. <valid>true</valid>
  10820. </parameter>
  10821. <parameter name="alwaysBurstMaxBurst">
  10822. <type>boolean</type>
  10823. <value>false</value>
  10824. <derived>false</derived>
  10825. <enabled>true</enabled>
  10826. <visible>false</visible>
  10827. <valid>true</valid>
  10828. </parameter>
  10829. <parameter name="associatedClock">
  10830. <type>java.lang.String</type>
  10831. <value>clk_50_clk</value>
  10832. <derived>false</derived>
  10833. <enabled>true</enabled>
  10834. <visible>true</visible>
  10835. <valid>true</valid>
  10836. </parameter>
  10837. <parameter name="associatedReset">
  10838. <type>java.lang.String</type>
  10839. <value>nios2_reset_reset_bridge_in_reset</value>
  10840. <derived>false</derived>
  10841. <enabled>true</enabled>
  10842. <visible>false</visible>
  10843. <valid>true</valid>
  10844. </parameter>
  10845. <parameter name="bitsPerSymbol">
  10846. <type>int</type>
  10847. <value>8</value>
  10848. <derived>false</derived>
  10849. <enabled>true</enabled>
  10850. <visible>false</visible>
  10851. <valid>true</valid>
  10852. </parameter>
  10853. <parameter name="bridgedAddressOffset">
  10854. <type>java.math.BigInteger</type>
  10855. <value>0</value>
  10856. <derived>false</derived>
  10857. <enabled>true</enabled>
  10858. <visible>false</visible>
  10859. <valid>true</valid>
  10860. </parameter>
  10861. <parameter name="bridgesToMaster">
  10862. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  10863. <value></value>
  10864. <derived>false</derived>
  10865. <enabled>true</enabled>
  10866. <visible>false</visible>
  10867. <valid>true</valid>
  10868. </parameter>
  10869. <parameter name="burstOnBurstBoundariesOnly">
  10870. <type>boolean</type>
  10871. <value>false</value>
  10872. <derived>false</derived>
  10873. <enabled>true</enabled>
  10874. <visible>true</visible>
  10875. <valid>true</valid>
  10876. </parameter>
  10877. <parameter name="burstcountUnits">
  10878. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  10879. <value>WORDS</value>
  10880. <derived>false</derived>
  10881. <enabled>true</enabled>
  10882. <visible>false</visible>
  10883. <valid>true</valid>
  10884. </parameter>
  10885. <parameter name="constantBurstBehavior">
  10886. <type>boolean</type>
  10887. <value>false</value>
  10888. <derived>false</derived>
  10889. <enabled>true</enabled>
  10890. <visible>false</visible>
  10891. <valid>true</valid>
  10892. </parameter>
  10893. <parameter name="explicitAddressSpan">
  10894. <type>java.math.BigInteger</type>
  10895. <value>0</value>
  10896. <derived>false</derived>
  10897. <enabled>true</enabled>
  10898. <visible>true</visible>
  10899. <valid>true</valid>
  10900. </parameter>
  10901. <parameter name="holdTime">
  10902. <type>int</type>
  10903. <value>0</value>
  10904. <derived>false</derived>
  10905. <enabled>true</enabled>
  10906. <visible>true</visible>
  10907. <valid>true</valid>
  10908. </parameter>
  10909. <parameter name="interleaveBursts">
  10910. <type>boolean</type>
  10911. <value>false</value>
  10912. <derived>false</derived>
  10913. <enabled>true</enabled>
  10914. <visible>false</visible>
  10915. <valid>true</valid>
  10916. </parameter>
  10917. <parameter name="isBigEndian">
  10918. <type>boolean</type>
  10919. <value>false</value>
  10920. <derived>false</derived>
  10921. <enabled>true</enabled>
  10922. <visible>false</visible>
  10923. <valid>true</valid>
  10924. </parameter>
  10925. <parameter name="isFlash">
  10926. <type>boolean</type>
  10927. <value>false</value>
  10928. <derived>false</derived>
  10929. <enabled>true</enabled>
  10930. <visible>false</visible>
  10931. <valid>true</valid>
  10932. </parameter>
  10933. <parameter name="isMemoryDevice">
  10934. <type>boolean</type>
  10935. <value>false</value>
  10936. <derived>false</derived>
  10937. <enabled>true</enabled>
  10938. <visible>true</visible>
  10939. <valid>true</valid>
  10940. </parameter>
  10941. <parameter name="isNonVolatileStorage">
  10942. <type>boolean</type>
  10943. <value>false</value>
  10944. <derived>false</derived>
  10945. <enabled>true</enabled>
  10946. <visible>true</visible>
  10947. <valid>true</valid>
  10948. </parameter>
  10949. <parameter name="linewrapBursts">
  10950. <type>boolean</type>
  10951. <value>true</value>
  10952. <derived>false</derived>
  10953. <enabled>true</enabled>
  10954. <visible>true</visible>
  10955. <valid>true</valid>
  10956. </parameter>
  10957. <parameter name="maximumPendingReadTransactions">
  10958. <type>int</type>
  10959. <value>0</value>
  10960. <derived>false</derived>
  10961. <enabled>false</enabled>
  10962. <visible>true</visible>
  10963. <valid>true</valid>
  10964. </parameter>
  10965. <parameter name="maximumPendingWriteTransactions">
  10966. <type>int</type>
  10967. <value>0</value>
  10968. <derived>false</derived>
  10969. <enabled>false</enabled>
  10970. <visible>true</visible>
  10971. <valid>true</valid>
  10972. </parameter>
  10973. <parameter name="minimumUninterruptedRunLength">
  10974. <type>int</type>
  10975. <value>1</value>
  10976. <derived>false</derived>
  10977. <enabled>true</enabled>
  10978. <visible>false</visible>
  10979. <valid>true</valid>
  10980. </parameter>
  10981. <parameter name="printableDevice">
  10982. <type>boolean</type>
  10983. <value>false</value>
  10984. <derived>false</derived>
  10985. <enabled>true</enabled>
  10986. <visible>true</visible>
  10987. <valid>true</valid>
  10988. </parameter>
  10989. <parameter name="readLatency">
  10990. <type>int</type>
  10991. <value>0</value>
  10992. <derived>false</derived>
  10993. <enabled>true</enabled>
  10994. <visible>true</visible>
  10995. <valid>true</valid>
  10996. </parameter>
  10997. <parameter name="readWaitStates">
  10998. <type>int</type>
  10999. <value>1</value>
  11000. <derived>false</derived>
  11001. <enabled>true</enabled>
  11002. <visible>false</visible>
  11003. <valid>true</valid>
  11004. </parameter>
  11005. <parameter name="readWaitTime">
  11006. <type>int</type>
  11007. <value>1</value>
  11008. <derived>false</derived>
  11009. <enabled>true</enabled>
  11010. <visible>true</visible>
  11011. <valid>true</valid>
  11012. </parameter>
  11013. <parameter name="registerIncomingSignals">
  11014. <type>boolean</type>
  11015. <value>false</value>
  11016. <derived>false</derived>
  11017. <enabled>true</enabled>
  11018. <visible>false</visible>
  11019. <valid>true</valid>
  11020. </parameter>
  11021. <parameter name="registerOutgoingSignals">
  11022. <type>boolean</type>
  11023. <value>false</value>
  11024. <derived>false</derived>
  11025. <enabled>true</enabled>
  11026. <visible>false</visible>
  11027. <valid>true</valid>
  11028. </parameter>
  11029. <parameter name="setupTime">
  11030. <type>int</type>
  11031. <value>0</value>
  11032. <derived>false</derived>
  11033. <enabled>true</enabled>
  11034. <visible>true</visible>
  11035. <valid>true</valid>
  11036. </parameter>
  11037. <parameter name="timingUnits">
  11038. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  11039. <value>Cycles</value>
  11040. <derived>false</derived>
  11041. <enabled>true</enabled>
  11042. <visible>true</visible>
  11043. <valid>true</valid>
  11044. </parameter>
  11045. <parameter name="transparentBridge">
  11046. <type>boolean</type>
  11047. <value>false</value>
  11048. <derived>false</derived>
  11049. <enabled>true</enabled>
  11050. <visible>false</visible>
  11051. <valid>true</valid>
  11052. </parameter>
  11053. <parameter name="wellBehavedWaitrequest">
  11054. <type>boolean</type>
  11055. <value>false</value>
  11056. <derived>false</derived>
  11057. <enabled>true</enabled>
  11058. <visible>false</visible>
  11059. <valid>true</valid>
  11060. </parameter>
  11061. <parameter name="writeLatency">
  11062. <type>int</type>
  11063. <value>0</value>
  11064. <derived>false</derived>
  11065. <enabled>true</enabled>
  11066. <visible>false</visible>
  11067. <valid>true</valid>
  11068. </parameter>
  11069. <parameter name="writeWaitStates">
  11070. <type>int</type>
  11071. <value>0</value>
  11072. <derived>false</derived>
  11073. <enabled>true</enabled>
  11074. <visible>false</visible>
  11075. <valid>true</valid>
  11076. </parameter>
  11077. <parameter name="writeWaitTime">
  11078. <type>int</type>
  11079. <value>0</value>
  11080. <derived>false</derived>
  11081. <enabled>true</enabled>
  11082. <visible>true</visible>
  11083. <valid>true</valid>
  11084. </parameter>
  11085. <parameter name="deviceFamily">
  11086. <type>java.lang.String</type>
  11087. <value>UNKNOWN</value>
  11088. <derived>false</derived>
  11089. <enabled>true</enabled>
  11090. <visible>true</visible>
  11091. <valid>true</valid>
  11092. </parameter>
  11093. <parameter name="generateLegacySim">
  11094. <type>boolean</type>
  11095. <value>false</value>
  11096. <derived>false</derived>
  11097. <enabled>true</enabled>
  11098. <visible>true</visible>
  11099. <valid>true</valid>
  11100. </parameter>
  11101. <type>avalon</type>
  11102. <isStart>false</isStart>
  11103. <port>
  11104. <name>nios2_instruction_master_address</name>
  11105. <direction>Input</direction>
  11106. <width>20</width>
  11107. <role>address</role>
  11108. </port>
  11109. <port>
  11110. <name>nios2_instruction_master_waitrequest</name>
  11111. <direction>Output</direction>
  11112. <width>1</width>
  11113. <role>waitrequest</role>
  11114. </port>
  11115. <port>
  11116. <name>nios2_instruction_master_read</name>
  11117. <direction>Input</direction>
  11118. <width>1</width>
  11119. <role>read</role>
  11120. </port>
  11121. <port>
  11122. <name>nios2_instruction_master_readdata</name>
  11123. <direction>Output</direction>
  11124. <width>32</width>
  11125. <role>readdata</role>
  11126. </port>
  11127. </interface>
  11128. <interface
  11129. name="jtag_uart_avalon_jtag_slave"
  11130. kind="avalon_master"
  11131. version="18.1">
  11132. <!-- The connection points exposed by a module instance for the
  11133. particular module parameters. Connection points and their
  11134. parameters are a RESULT of the module parameters. -->
  11135. <parameter name="adaptsTo">
  11136. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  11137. <value></value>
  11138. <derived>false</derived>
  11139. <enabled>true</enabled>
  11140. <visible>false</visible>
  11141. <valid>true</valid>
  11142. </parameter>
  11143. <parameter name="addressGroup">
  11144. <type>int</type>
  11145. <value>0</value>
  11146. <derived>false</derived>
  11147. <enabled>true</enabled>
  11148. <visible>false</visible>
  11149. <valid>true</valid>
  11150. </parameter>
  11151. <parameter name="addressUnits">
  11152. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  11153. <value>WORDS</value>
  11154. <derived>false</derived>
  11155. <enabled>true</enabled>
  11156. <visible>true</visible>
  11157. <valid>true</valid>
  11158. </parameter>
  11159. <parameter name="alwaysBurstMaxBurst">
  11160. <type>boolean</type>
  11161. <value>false</value>
  11162. <derived>false</derived>
  11163. <enabled>true</enabled>
  11164. <visible>false</visible>
  11165. <valid>true</valid>
  11166. </parameter>
  11167. <parameter name="associatedClock">
  11168. <type>java.lang.String</type>
  11169. <value>clk_50_clk</value>
  11170. <derived>false</derived>
  11171. <enabled>true</enabled>
  11172. <visible>true</visible>
  11173. <valid>true</valid>
  11174. </parameter>
  11175. <parameter name="associatedReset">
  11176. <type>java.lang.String</type>
  11177. <value>nios2_reset_reset_bridge_in_reset</value>
  11178. <derived>false</derived>
  11179. <enabled>true</enabled>
  11180. <visible>true</visible>
  11181. <valid>true</valid>
  11182. </parameter>
  11183. <parameter name="bitsPerSymbol">
  11184. <type>int</type>
  11185. <value>8</value>
  11186. <derived>false</derived>
  11187. <enabled>true</enabled>
  11188. <visible>false</visible>
  11189. <valid>true</valid>
  11190. </parameter>
  11191. <parameter name="burstOnBurstBoundariesOnly">
  11192. <type>boolean</type>
  11193. <value>false</value>
  11194. <derived>false</derived>
  11195. <enabled>true</enabled>
  11196. <visible>true</visible>
  11197. <valid>true</valid>
  11198. </parameter>
  11199. <parameter name="burstcountUnits">
  11200. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  11201. <value>WORDS</value>
  11202. <derived>false</derived>
  11203. <enabled>true</enabled>
  11204. <visible>false</visible>
  11205. <valid>true</valid>
  11206. </parameter>
  11207. <parameter name="constantBurstBehavior">
  11208. <type>boolean</type>
  11209. <value>false</value>
  11210. <derived>false</derived>
  11211. <enabled>true</enabled>
  11212. <visible>false</visible>
  11213. <valid>true</valid>
  11214. </parameter>
  11215. <parameter name="dBSBigEndian">
  11216. <type>boolean</type>
  11217. <value>false</value>
  11218. <derived>false</derived>
  11219. <enabled>true</enabled>
  11220. <visible>false</visible>
  11221. <valid>true</valid>
  11222. </parameter>
  11223. <parameter name="doStreamReads">
  11224. <type>boolean</type>
  11225. <value>false</value>
  11226. <derived>false</derived>
  11227. <enabled>true</enabled>
  11228. <visible>true</visible>
  11229. <valid>true</valid>
  11230. </parameter>
  11231. <parameter name="doStreamWrites">
  11232. <type>boolean</type>
  11233. <value>false</value>
  11234. <derived>false</derived>
  11235. <enabled>true</enabled>
  11236. <visible>true</visible>
  11237. <valid>true</valid>
  11238. </parameter>
  11239. <parameter name="holdTime">
  11240. <type>int</type>
  11241. <value>0</value>
  11242. <derived>false</derived>
  11243. <enabled>true</enabled>
  11244. <visible>false</visible>
  11245. <valid>true</valid>
  11246. </parameter>
  11247. <parameter name="interleaveBursts">
  11248. <type>boolean</type>
  11249. <value>false</value>
  11250. <derived>false</derived>
  11251. <enabled>true</enabled>
  11252. <visible>false</visible>
  11253. <valid>true</valid>
  11254. </parameter>
  11255. <parameter name="isAsynchronous">
  11256. <type>boolean</type>
  11257. <value>false</value>
  11258. <derived>false</derived>
  11259. <enabled>true</enabled>
  11260. <visible>false</visible>
  11261. <valid>true</valid>
  11262. </parameter>
  11263. <parameter name="isBigEndian">
  11264. <type>boolean</type>
  11265. <value>false</value>
  11266. <derived>false</derived>
  11267. <enabled>true</enabled>
  11268. <visible>false</visible>
  11269. <valid>true</valid>
  11270. </parameter>
  11271. <parameter name="isReadable">
  11272. <type>boolean</type>
  11273. <value>false</value>
  11274. <derived>false</derived>
  11275. <enabled>true</enabled>
  11276. <visible>false</visible>
  11277. <valid>true</valid>
  11278. </parameter>
  11279. <parameter name="isWriteable">
  11280. <type>boolean</type>
  11281. <value>false</value>
  11282. <derived>false</derived>
  11283. <enabled>true</enabled>
  11284. <visible>false</visible>
  11285. <valid>true</valid>
  11286. </parameter>
  11287. <parameter name="linewrapBursts">
  11288. <type>boolean</type>
  11289. <value>false</value>
  11290. <derived>false</derived>
  11291. <enabled>true</enabled>
  11292. <visible>true</visible>
  11293. <valid>true</valid>
  11294. </parameter>
  11295. <parameter name="maxAddressWidth">
  11296. <type>int</type>
  11297. <value>32</value>
  11298. <derived>false</derived>
  11299. <enabled>true</enabled>
  11300. <visible>false</visible>
  11301. <valid>true</valid>
  11302. </parameter>
  11303. <parameter name="maximumPendingReadTransactions">
  11304. <type>int</type>
  11305. <value>0</value>
  11306. <derived>false</derived>
  11307. <enabled>true</enabled>
  11308. <visible>false</visible>
  11309. <valid>true</valid>
  11310. </parameter>
  11311. <parameter name="maximumPendingWriteTransactions">
  11312. <type>int</type>
  11313. <value>0</value>
  11314. <derived>false</derived>
  11315. <enabled>true</enabled>
  11316. <visible>false</visible>
  11317. <valid>true</valid>
  11318. </parameter>
  11319. <parameter name="readLatency">
  11320. <type>int</type>
  11321. <value>0</value>
  11322. <derived>false</derived>
  11323. <enabled>true</enabled>
  11324. <visible>true</visible>
  11325. <valid>true</valid>
  11326. </parameter>
  11327. <parameter name="readWaitTime">
  11328. <type>int</type>
  11329. <value>1</value>
  11330. <derived>false</derived>
  11331. <enabled>true</enabled>
  11332. <visible>false</visible>
  11333. <valid>true</valid>
  11334. </parameter>
  11335. <parameter name="registerIncomingSignals">
  11336. <type>boolean</type>
  11337. <value>false</value>
  11338. <derived>false</derived>
  11339. <enabled>true</enabled>
  11340. <visible>false</visible>
  11341. <valid>true</valid>
  11342. </parameter>
  11343. <parameter name="registerOutgoingSignals">
  11344. <type>boolean</type>
  11345. <value>false</value>
  11346. <derived>false</derived>
  11347. <enabled>true</enabled>
  11348. <visible>false</visible>
  11349. <valid>true</valid>
  11350. </parameter>
  11351. <parameter name="setupTime">
  11352. <type>int</type>
  11353. <value>0</value>
  11354. <derived>false</derived>
  11355. <enabled>true</enabled>
  11356. <visible>false</visible>
  11357. <valid>true</valid>
  11358. </parameter>
  11359. <parameter name="timingUnits">
  11360. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  11361. <value>Cycles</value>
  11362. <derived>false</derived>
  11363. <enabled>true</enabled>
  11364. <visible>false</visible>
  11365. <valid>true</valid>
  11366. </parameter>
  11367. <parameter name="writeWaitTime">
  11368. <type>int</type>
  11369. <value>0</value>
  11370. <derived>false</derived>
  11371. <enabled>true</enabled>
  11372. <visible>false</visible>
  11373. <valid>true</valid>
  11374. </parameter>
  11375. <parameter name="deviceFamily">
  11376. <type>java.lang.String</type>
  11377. <value>UNKNOWN</value>
  11378. <derived>false</derived>
  11379. <enabled>true</enabled>
  11380. <visible>true</visible>
  11381. <valid>true</valid>
  11382. </parameter>
  11383. <parameter name="generateLegacySim">
  11384. <type>boolean</type>
  11385. <value>false</value>
  11386. <derived>false</derived>
  11387. <enabled>true</enabled>
  11388. <visible>true</visible>
  11389. <valid>true</valid>
  11390. </parameter>
  11391. <type>avalon</type>
  11392. <isStart>true</isStart>
  11393. <port>
  11394. <name>jtag_uart_avalon_jtag_slave_address</name>
  11395. <direction>Output</direction>
  11396. <width>1</width>
  11397. <role>address</role>
  11398. </port>
  11399. <port>
  11400. <name>jtag_uart_avalon_jtag_slave_write</name>
  11401. <direction>Output</direction>
  11402. <width>1</width>
  11403. <role>write</role>
  11404. </port>
  11405. <port>
  11406. <name>jtag_uart_avalon_jtag_slave_read</name>
  11407. <direction>Output</direction>
  11408. <width>1</width>
  11409. <role>read</role>
  11410. </port>
  11411. <port>
  11412. <name>jtag_uart_avalon_jtag_slave_readdata</name>
  11413. <direction>Input</direction>
  11414. <width>32</width>
  11415. <role>readdata</role>
  11416. </port>
  11417. <port>
  11418. <name>jtag_uart_avalon_jtag_slave_writedata</name>
  11419. <direction>Output</direction>
  11420. <width>32</width>
  11421. <role>writedata</role>
  11422. </port>
  11423. <port>
  11424. <name>jtag_uart_avalon_jtag_slave_waitrequest</name>
  11425. <direction>Input</direction>
  11426. <width>1</width>
  11427. <role>waitrequest</role>
  11428. </port>
  11429. <port>
  11430. <name>jtag_uart_avalon_jtag_slave_chipselect</name>
  11431. <direction>Output</direction>
  11432. <width>1</width>
  11433. <role>chipselect</role>
  11434. </port>
  11435. <memoryBlock>
  11436. <isBridge>false</isBridge>
  11437. <moduleName>jtag_uart</moduleName>
  11438. <slaveName>avalon_jtag_slave</slaveName>
  11439. <name>jtag_uart.avalon_jtag_slave</name>
  11440. <baseAddress>0</baseAddress>
  11441. <span>8</span>
  11442. </memoryBlock>
  11443. </interface>
  11444. <interface name="lcd_16207_control_slave" kind="avalon_master" version="18.1">
  11445. <!-- The connection points exposed by a module instance for the
  11446. particular module parameters. Connection points and their
  11447. parameters are a RESULT of the module parameters. -->
  11448. <parameter name="adaptsTo">
  11449. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  11450. <value></value>
  11451. <derived>false</derived>
  11452. <enabled>true</enabled>
  11453. <visible>false</visible>
  11454. <valid>true</valid>
  11455. </parameter>
  11456. <parameter name="addressGroup">
  11457. <type>int</type>
  11458. <value>0</value>
  11459. <derived>false</derived>
  11460. <enabled>true</enabled>
  11461. <visible>false</visible>
  11462. <valid>true</valid>
  11463. </parameter>
  11464. <parameter name="addressUnits">
  11465. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  11466. <value>WORDS</value>
  11467. <derived>false</derived>
  11468. <enabled>true</enabled>
  11469. <visible>true</visible>
  11470. <valid>true</valid>
  11471. </parameter>
  11472. <parameter name="alwaysBurstMaxBurst">
  11473. <type>boolean</type>
  11474. <value>false</value>
  11475. <derived>false</derived>
  11476. <enabled>true</enabled>
  11477. <visible>false</visible>
  11478. <valid>true</valid>
  11479. </parameter>
  11480. <parameter name="associatedClock">
  11481. <type>java.lang.String</type>
  11482. <value>clk_50_clk</value>
  11483. <derived>false</derived>
  11484. <enabled>true</enabled>
  11485. <visible>true</visible>
  11486. <valid>true</valid>
  11487. </parameter>
  11488. <parameter name="associatedReset">
  11489. <type>java.lang.String</type>
  11490. <value>nios2_reset_reset_bridge_in_reset</value>
  11491. <derived>false</derived>
  11492. <enabled>true</enabled>
  11493. <visible>true</visible>
  11494. <valid>true</valid>
  11495. </parameter>
  11496. <parameter name="bitsPerSymbol">
  11497. <type>int</type>
  11498. <value>8</value>
  11499. <derived>false</derived>
  11500. <enabled>true</enabled>
  11501. <visible>false</visible>
  11502. <valid>true</valid>
  11503. </parameter>
  11504. <parameter name="burstOnBurstBoundariesOnly">
  11505. <type>boolean</type>
  11506. <value>false</value>
  11507. <derived>false</derived>
  11508. <enabled>true</enabled>
  11509. <visible>true</visible>
  11510. <valid>true</valid>
  11511. </parameter>
  11512. <parameter name="burstcountUnits">
  11513. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  11514. <value>WORDS</value>
  11515. <derived>false</derived>
  11516. <enabled>true</enabled>
  11517. <visible>false</visible>
  11518. <valid>true</valid>
  11519. </parameter>
  11520. <parameter name="constantBurstBehavior">
  11521. <type>boolean</type>
  11522. <value>false</value>
  11523. <derived>false</derived>
  11524. <enabled>true</enabled>
  11525. <visible>false</visible>
  11526. <valid>true</valid>
  11527. </parameter>
  11528. <parameter name="dBSBigEndian">
  11529. <type>boolean</type>
  11530. <value>false</value>
  11531. <derived>false</derived>
  11532. <enabled>true</enabled>
  11533. <visible>false</visible>
  11534. <valid>true</valid>
  11535. </parameter>
  11536. <parameter name="doStreamReads">
  11537. <type>boolean</type>
  11538. <value>false</value>
  11539. <derived>false</derived>
  11540. <enabled>true</enabled>
  11541. <visible>true</visible>
  11542. <valid>true</valid>
  11543. </parameter>
  11544. <parameter name="doStreamWrites">
  11545. <type>boolean</type>
  11546. <value>false</value>
  11547. <derived>false</derived>
  11548. <enabled>true</enabled>
  11549. <visible>true</visible>
  11550. <valid>true</valid>
  11551. </parameter>
  11552. <parameter name="holdTime">
  11553. <type>int</type>
  11554. <value>250</value>
  11555. <derived>false</derived>
  11556. <enabled>true</enabled>
  11557. <visible>false</visible>
  11558. <valid>true</valid>
  11559. </parameter>
  11560. <parameter name="interleaveBursts">
  11561. <type>boolean</type>
  11562. <value>false</value>
  11563. <derived>false</derived>
  11564. <enabled>true</enabled>
  11565. <visible>false</visible>
  11566. <valid>true</valid>
  11567. </parameter>
  11568. <parameter name="isAsynchronous">
  11569. <type>boolean</type>
  11570. <value>false</value>
  11571. <derived>false</derived>
  11572. <enabled>true</enabled>
  11573. <visible>false</visible>
  11574. <valid>true</valid>
  11575. </parameter>
  11576. <parameter name="isBigEndian">
  11577. <type>boolean</type>
  11578. <value>false</value>
  11579. <derived>false</derived>
  11580. <enabled>true</enabled>
  11581. <visible>false</visible>
  11582. <valid>true</valid>
  11583. </parameter>
  11584. <parameter name="isReadable">
  11585. <type>boolean</type>
  11586. <value>false</value>
  11587. <derived>false</derived>
  11588. <enabled>true</enabled>
  11589. <visible>false</visible>
  11590. <valid>true</valid>
  11591. </parameter>
  11592. <parameter name="isWriteable">
  11593. <type>boolean</type>
  11594. <value>false</value>
  11595. <derived>false</derived>
  11596. <enabled>true</enabled>
  11597. <visible>false</visible>
  11598. <valid>true</valid>
  11599. </parameter>
  11600. <parameter name="linewrapBursts">
  11601. <type>boolean</type>
  11602. <value>false</value>
  11603. <derived>false</derived>
  11604. <enabled>true</enabled>
  11605. <visible>true</visible>
  11606. <valid>true</valid>
  11607. </parameter>
  11608. <parameter name="maxAddressWidth">
  11609. <type>int</type>
  11610. <value>32</value>
  11611. <derived>false</derived>
  11612. <enabled>true</enabled>
  11613. <visible>false</visible>
  11614. <valid>true</valid>
  11615. </parameter>
  11616. <parameter name="maximumPendingReadTransactions">
  11617. <type>int</type>
  11618. <value>0</value>
  11619. <derived>false</derived>
  11620. <enabled>true</enabled>
  11621. <visible>false</visible>
  11622. <valid>true</valid>
  11623. </parameter>
  11624. <parameter name="maximumPendingWriteTransactions">
  11625. <type>int</type>
  11626. <value>0</value>
  11627. <derived>false</derived>
  11628. <enabled>true</enabled>
  11629. <visible>false</visible>
  11630. <valid>true</valid>
  11631. </parameter>
  11632. <parameter name="readLatency">
  11633. <type>int</type>
  11634. <value>0</value>
  11635. <derived>false</derived>
  11636. <enabled>true</enabled>
  11637. <visible>true</visible>
  11638. <valid>true</valid>
  11639. </parameter>
  11640. <parameter name="readWaitTime">
  11641. <type>int</type>
  11642. <value>250</value>
  11643. <derived>false</derived>
  11644. <enabled>true</enabled>
  11645. <visible>false</visible>
  11646. <valid>true</valid>
  11647. </parameter>
  11648. <parameter name="registerIncomingSignals">
  11649. <type>boolean</type>
  11650. <value>false</value>
  11651. <derived>false</derived>
  11652. <enabled>true</enabled>
  11653. <visible>false</visible>
  11654. <valid>true</valid>
  11655. </parameter>
  11656. <parameter name="registerOutgoingSignals">
  11657. <type>boolean</type>
  11658. <value>false</value>
  11659. <derived>false</derived>
  11660. <enabled>true</enabled>
  11661. <visible>false</visible>
  11662. <valid>true</valid>
  11663. </parameter>
  11664. <parameter name="setupTime">
  11665. <type>int</type>
  11666. <value>250</value>
  11667. <derived>false</derived>
  11668. <enabled>true</enabled>
  11669. <visible>false</visible>
  11670. <valid>true</valid>
  11671. </parameter>
  11672. <parameter name="timingUnits">
  11673. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  11674. <value>Nanoseconds</value>
  11675. <derived>false</derived>
  11676. <enabled>true</enabled>
  11677. <visible>false</visible>
  11678. <valid>true</valid>
  11679. </parameter>
  11680. <parameter name="writeWaitTime">
  11681. <type>int</type>
  11682. <value>250</value>
  11683. <derived>false</derived>
  11684. <enabled>true</enabled>
  11685. <visible>false</visible>
  11686. <valid>true</valid>
  11687. </parameter>
  11688. <parameter name="deviceFamily">
  11689. <type>java.lang.String</type>
  11690. <value>UNKNOWN</value>
  11691. <derived>false</derived>
  11692. <enabled>true</enabled>
  11693. <visible>true</visible>
  11694. <valid>true</valid>
  11695. </parameter>
  11696. <parameter name="generateLegacySim">
  11697. <type>boolean</type>
  11698. <value>false</value>
  11699. <derived>false</derived>
  11700. <enabled>true</enabled>
  11701. <visible>true</visible>
  11702. <valid>true</valid>
  11703. </parameter>
  11704. <type>avalon</type>
  11705. <isStart>true</isStart>
  11706. <port>
  11707. <name>lcd_16207_control_slave_address</name>
  11708. <direction>Output</direction>
  11709. <width>2</width>
  11710. <role>address</role>
  11711. </port>
  11712. <port>
  11713. <name>lcd_16207_control_slave_write</name>
  11714. <direction>Output</direction>
  11715. <width>1</width>
  11716. <role>write</role>
  11717. </port>
  11718. <port>
  11719. <name>lcd_16207_control_slave_read</name>
  11720. <direction>Output</direction>
  11721. <width>1</width>
  11722. <role>read</role>
  11723. </port>
  11724. <port>
  11725. <name>lcd_16207_control_slave_readdata</name>
  11726. <direction>Input</direction>
  11727. <width>8</width>
  11728. <role>readdata</role>
  11729. </port>
  11730. <port>
  11731. <name>lcd_16207_control_slave_writedata</name>
  11732. <direction>Output</direction>
  11733. <width>8</width>
  11734. <role>writedata</role>
  11735. </port>
  11736. <port>
  11737. <name>lcd_16207_control_slave_begintransfer</name>
  11738. <direction>Output</direction>
  11739. <width>1</width>
  11740. <role>begintransfer</role>
  11741. </port>
  11742. <memoryBlock>
  11743. <isBridge>false</isBridge>
  11744. <moduleName>lcd_16207</moduleName>
  11745. <slaveName>control_slave</slaveName>
  11746. <name>lcd_16207.control_slave</name>
  11747. <baseAddress>0</baseAddress>
  11748. <span>16</span>
  11749. </memoryBlock>
  11750. </interface>
  11751. <interface name="nios2_debug_mem_slave" kind="avalon_master" version="18.1">
  11752. <!-- The connection points exposed by a module instance for the
  11753. particular module parameters. Connection points and their
  11754. parameters are a RESULT of the module parameters. -->
  11755. <parameter name="adaptsTo">
  11756. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  11757. <value></value>
  11758. <derived>false</derived>
  11759. <enabled>true</enabled>
  11760. <visible>false</visible>
  11761. <valid>true</valid>
  11762. </parameter>
  11763. <parameter name="addressGroup">
  11764. <type>int</type>
  11765. <value>0</value>
  11766. <derived>false</derived>
  11767. <enabled>true</enabled>
  11768. <visible>false</visible>
  11769. <valid>true</valid>
  11770. </parameter>
  11771. <parameter name="addressUnits">
  11772. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  11773. <value>WORDS</value>
  11774. <derived>false</derived>
  11775. <enabled>true</enabled>
  11776. <visible>true</visible>
  11777. <valid>true</valid>
  11778. </parameter>
  11779. <parameter name="alwaysBurstMaxBurst">
  11780. <type>boolean</type>
  11781. <value>false</value>
  11782. <derived>false</derived>
  11783. <enabled>true</enabled>
  11784. <visible>false</visible>
  11785. <valid>true</valid>
  11786. </parameter>
  11787. <parameter name="associatedClock">
  11788. <type>java.lang.String</type>
  11789. <value>clk_50_clk</value>
  11790. <derived>false</derived>
  11791. <enabled>true</enabled>
  11792. <visible>true</visible>
  11793. <valid>true</valid>
  11794. </parameter>
  11795. <parameter name="associatedReset">
  11796. <type>java.lang.String</type>
  11797. <value>nios2_reset_reset_bridge_in_reset</value>
  11798. <derived>false</derived>
  11799. <enabled>true</enabled>
  11800. <visible>true</visible>
  11801. <valid>true</valid>
  11802. </parameter>
  11803. <parameter name="bitsPerSymbol">
  11804. <type>int</type>
  11805. <value>8</value>
  11806. <derived>false</derived>
  11807. <enabled>true</enabled>
  11808. <visible>false</visible>
  11809. <valid>true</valid>
  11810. </parameter>
  11811. <parameter name="burstOnBurstBoundariesOnly">
  11812. <type>boolean</type>
  11813. <value>false</value>
  11814. <derived>false</derived>
  11815. <enabled>true</enabled>
  11816. <visible>true</visible>
  11817. <valid>true</valid>
  11818. </parameter>
  11819. <parameter name="burstcountUnits">
  11820. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  11821. <value>WORDS</value>
  11822. <derived>false</derived>
  11823. <enabled>true</enabled>
  11824. <visible>false</visible>
  11825. <valid>true</valid>
  11826. </parameter>
  11827. <parameter name="constantBurstBehavior">
  11828. <type>boolean</type>
  11829. <value>false</value>
  11830. <derived>false</derived>
  11831. <enabled>true</enabled>
  11832. <visible>false</visible>
  11833. <valid>true</valid>
  11834. </parameter>
  11835. <parameter name="dBSBigEndian">
  11836. <type>boolean</type>
  11837. <value>false</value>
  11838. <derived>false</derived>
  11839. <enabled>true</enabled>
  11840. <visible>false</visible>
  11841. <valid>true</valid>
  11842. </parameter>
  11843. <parameter name="doStreamReads">
  11844. <type>boolean</type>
  11845. <value>false</value>
  11846. <derived>false</derived>
  11847. <enabled>true</enabled>
  11848. <visible>true</visible>
  11849. <valid>true</valid>
  11850. </parameter>
  11851. <parameter name="doStreamWrites">
  11852. <type>boolean</type>
  11853. <value>false</value>
  11854. <derived>false</derived>
  11855. <enabled>true</enabled>
  11856. <visible>true</visible>
  11857. <valid>true</valid>
  11858. </parameter>
  11859. <parameter name="holdTime">
  11860. <type>int</type>
  11861. <value>0</value>
  11862. <derived>false</derived>
  11863. <enabled>true</enabled>
  11864. <visible>false</visible>
  11865. <valid>true</valid>
  11866. </parameter>
  11867. <parameter name="interleaveBursts">
  11868. <type>boolean</type>
  11869. <value>false</value>
  11870. <derived>false</derived>
  11871. <enabled>true</enabled>
  11872. <visible>false</visible>
  11873. <valid>true</valid>
  11874. </parameter>
  11875. <parameter name="isAsynchronous">
  11876. <type>boolean</type>
  11877. <value>false</value>
  11878. <derived>false</derived>
  11879. <enabled>true</enabled>
  11880. <visible>false</visible>
  11881. <valid>true</valid>
  11882. </parameter>
  11883. <parameter name="isBigEndian">
  11884. <type>boolean</type>
  11885. <value>false</value>
  11886. <derived>false</derived>
  11887. <enabled>true</enabled>
  11888. <visible>false</visible>
  11889. <valid>true</valid>
  11890. </parameter>
  11891. <parameter name="isReadable">
  11892. <type>boolean</type>
  11893. <value>false</value>
  11894. <derived>false</derived>
  11895. <enabled>true</enabled>
  11896. <visible>false</visible>
  11897. <valid>true</valid>
  11898. </parameter>
  11899. <parameter name="isWriteable">
  11900. <type>boolean</type>
  11901. <value>false</value>
  11902. <derived>false</derived>
  11903. <enabled>true</enabled>
  11904. <visible>false</visible>
  11905. <valid>true</valid>
  11906. </parameter>
  11907. <parameter name="linewrapBursts">
  11908. <type>boolean</type>
  11909. <value>false</value>
  11910. <derived>false</derived>
  11911. <enabled>true</enabled>
  11912. <visible>true</visible>
  11913. <valid>true</valid>
  11914. </parameter>
  11915. <parameter name="maxAddressWidth">
  11916. <type>int</type>
  11917. <value>32</value>
  11918. <derived>false</derived>
  11919. <enabled>true</enabled>
  11920. <visible>false</visible>
  11921. <valid>true</valid>
  11922. </parameter>
  11923. <parameter name="maximumPendingReadTransactions">
  11924. <type>int</type>
  11925. <value>0</value>
  11926. <derived>false</derived>
  11927. <enabled>true</enabled>
  11928. <visible>false</visible>
  11929. <valid>true</valid>
  11930. </parameter>
  11931. <parameter name="maximumPendingWriteTransactions">
  11932. <type>int</type>
  11933. <value>0</value>
  11934. <derived>false</derived>
  11935. <enabled>true</enabled>
  11936. <visible>false</visible>
  11937. <valid>true</valid>
  11938. </parameter>
  11939. <parameter name="readLatency">
  11940. <type>int</type>
  11941. <value>0</value>
  11942. <derived>false</derived>
  11943. <enabled>true</enabled>
  11944. <visible>true</visible>
  11945. <valid>true</valid>
  11946. </parameter>
  11947. <parameter name="readWaitTime">
  11948. <type>int</type>
  11949. <value>1</value>
  11950. <derived>false</derived>
  11951. <enabled>true</enabled>
  11952. <visible>false</visible>
  11953. <valid>true</valid>
  11954. </parameter>
  11955. <parameter name="registerIncomingSignals">
  11956. <type>boolean</type>
  11957. <value>true</value>
  11958. <derived>false</derived>
  11959. <enabled>true</enabled>
  11960. <visible>false</visible>
  11961. <valid>true</valid>
  11962. </parameter>
  11963. <parameter name="registerOutgoingSignals">
  11964. <type>boolean</type>
  11965. <value>false</value>
  11966. <derived>false</derived>
  11967. <enabled>true</enabled>
  11968. <visible>false</visible>
  11969. <valid>true</valid>
  11970. </parameter>
  11971. <parameter name="setupTime">
  11972. <type>int</type>
  11973. <value>0</value>
  11974. <derived>false</derived>
  11975. <enabled>true</enabled>
  11976. <visible>false</visible>
  11977. <valid>true</valid>
  11978. </parameter>
  11979. <parameter name="timingUnits">
  11980. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  11981. <value>Cycles</value>
  11982. <derived>false</derived>
  11983. <enabled>true</enabled>
  11984. <visible>false</visible>
  11985. <valid>true</valid>
  11986. </parameter>
  11987. <parameter name="writeWaitTime">
  11988. <type>int</type>
  11989. <value>0</value>
  11990. <derived>false</derived>
  11991. <enabled>true</enabled>
  11992. <visible>false</visible>
  11993. <valid>true</valid>
  11994. </parameter>
  11995. <parameter name="deviceFamily">
  11996. <type>java.lang.String</type>
  11997. <value>UNKNOWN</value>
  11998. <derived>false</derived>
  11999. <enabled>true</enabled>
  12000. <visible>true</visible>
  12001. <valid>true</valid>
  12002. </parameter>
  12003. <parameter name="generateLegacySim">
  12004. <type>boolean</type>
  12005. <value>false</value>
  12006. <derived>false</derived>
  12007. <enabled>true</enabled>
  12008. <visible>true</visible>
  12009. <valid>true</valid>
  12010. </parameter>
  12011. <type>avalon</type>
  12012. <isStart>true</isStart>
  12013. <port>
  12014. <name>nios2_debug_mem_slave_address</name>
  12015. <direction>Output</direction>
  12016. <width>9</width>
  12017. <role>address</role>
  12018. </port>
  12019. <port>
  12020. <name>nios2_debug_mem_slave_write</name>
  12021. <direction>Output</direction>
  12022. <width>1</width>
  12023. <role>write</role>
  12024. </port>
  12025. <port>
  12026. <name>nios2_debug_mem_slave_read</name>
  12027. <direction>Output</direction>
  12028. <width>1</width>
  12029. <role>read</role>
  12030. </port>
  12031. <port>
  12032. <name>nios2_debug_mem_slave_readdata</name>
  12033. <direction>Input</direction>
  12034. <width>32</width>
  12035. <role>readdata</role>
  12036. </port>
  12037. <port>
  12038. <name>nios2_debug_mem_slave_writedata</name>
  12039. <direction>Output</direction>
  12040. <width>32</width>
  12041. <role>writedata</role>
  12042. </port>
  12043. <port>
  12044. <name>nios2_debug_mem_slave_byteenable</name>
  12045. <direction>Output</direction>
  12046. <width>4</width>
  12047. <role>byteenable</role>
  12048. </port>
  12049. <port>
  12050. <name>nios2_debug_mem_slave_waitrequest</name>
  12051. <direction>Input</direction>
  12052. <width>1</width>
  12053. <role>waitrequest</role>
  12054. </port>
  12055. <port>
  12056. <name>nios2_debug_mem_slave_debugaccess</name>
  12057. <direction>Output</direction>
  12058. <width>1</width>
  12059. <role>debugaccess</role>
  12060. </port>
  12061. <memoryBlock>
  12062. <isBridge>false</isBridge>
  12063. <moduleName>nios2</moduleName>
  12064. <slaveName>debug_mem_slave</slaveName>
  12065. <name>nios2.debug_mem_slave</name>
  12066. <baseAddress>0</baseAddress>
  12067. <span>2048</span>
  12068. </memoryBlock>
  12069. </interface>
  12070. <interface name="onchip_memory2_s1" kind="avalon_master" version="18.1">
  12071. <!-- The connection points exposed by a module instance for the
  12072. particular module parameters. Connection points and their
  12073. parameters are a RESULT of the module parameters. -->
  12074. <parameter name="adaptsTo">
  12075. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  12076. <value></value>
  12077. <derived>false</derived>
  12078. <enabled>true</enabled>
  12079. <visible>false</visible>
  12080. <valid>true</valid>
  12081. </parameter>
  12082. <parameter name="addressGroup">
  12083. <type>int</type>
  12084. <value>0</value>
  12085. <derived>false</derived>
  12086. <enabled>true</enabled>
  12087. <visible>false</visible>
  12088. <valid>true</valid>
  12089. </parameter>
  12090. <parameter name="addressUnits">
  12091. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12092. <value>WORDS</value>
  12093. <derived>false</derived>
  12094. <enabled>true</enabled>
  12095. <visible>true</visible>
  12096. <valid>true</valid>
  12097. </parameter>
  12098. <parameter name="alwaysBurstMaxBurst">
  12099. <type>boolean</type>
  12100. <value>false</value>
  12101. <derived>false</derived>
  12102. <enabled>true</enabled>
  12103. <visible>false</visible>
  12104. <valid>true</valid>
  12105. </parameter>
  12106. <parameter name="associatedClock">
  12107. <type>java.lang.String</type>
  12108. <value>clk_50_clk</value>
  12109. <derived>false</derived>
  12110. <enabled>true</enabled>
  12111. <visible>true</visible>
  12112. <valid>true</valid>
  12113. </parameter>
  12114. <parameter name="associatedReset">
  12115. <type>java.lang.String</type>
  12116. <value>nios2_reset_reset_bridge_in_reset</value>
  12117. <derived>false</derived>
  12118. <enabled>true</enabled>
  12119. <visible>true</visible>
  12120. <valid>true</valid>
  12121. </parameter>
  12122. <parameter name="bitsPerSymbol">
  12123. <type>int</type>
  12124. <value>8</value>
  12125. <derived>false</derived>
  12126. <enabled>true</enabled>
  12127. <visible>false</visible>
  12128. <valid>true</valid>
  12129. </parameter>
  12130. <parameter name="burstOnBurstBoundariesOnly">
  12131. <type>boolean</type>
  12132. <value>false</value>
  12133. <derived>false</derived>
  12134. <enabled>true</enabled>
  12135. <visible>true</visible>
  12136. <valid>true</valid>
  12137. </parameter>
  12138. <parameter name="burstcountUnits">
  12139. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12140. <value>WORDS</value>
  12141. <derived>false</derived>
  12142. <enabled>true</enabled>
  12143. <visible>false</visible>
  12144. <valid>true</valid>
  12145. </parameter>
  12146. <parameter name="constantBurstBehavior">
  12147. <type>boolean</type>
  12148. <value>false</value>
  12149. <derived>false</derived>
  12150. <enabled>true</enabled>
  12151. <visible>false</visible>
  12152. <valid>true</valid>
  12153. </parameter>
  12154. <parameter name="dBSBigEndian">
  12155. <type>boolean</type>
  12156. <value>false</value>
  12157. <derived>false</derived>
  12158. <enabled>true</enabled>
  12159. <visible>false</visible>
  12160. <valid>true</valid>
  12161. </parameter>
  12162. <parameter name="doStreamReads">
  12163. <type>boolean</type>
  12164. <value>false</value>
  12165. <derived>false</derived>
  12166. <enabled>true</enabled>
  12167. <visible>true</visible>
  12168. <valid>true</valid>
  12169. </parameter>
  12170. <parameter name="doStreamWrites">
  12171. <type>boolean</type>
  12172. <value>false</value>
  12173. <derived>false</derived>
  12174. <enabled>true</enabled>
  12175. <visible>true</visible>
  12176. <valid>true</valid>
  12177. </parameter>
  12178. <parameter name="holdTime">
  12179. <type>int</type>
  12180. <value>0</value>
  12181. <derived>false</derived>
  12182. <enabled>true</enabled>
  12183. <visible>false</visible>
  12184. <valid>true</valid>
  12185. </parameter>
  12186. <parameter name="interleaveBursts">
  12187. <type>boolean</type>
  12188. <value>false</value>
  12189. <derived>false</derived>
  12190. <enabled>true</enabled>
  12191. <visible>false</visible>
  12192. <valid>true</valid>
  12193. </parameter>
  12194. <parameter name="isAsynchronous">
  12195. <type>boolean</type>
  12196. <value>false</value>
  12197. <derived>false</derived>
  12198. <enabled>true</enabled>
  12199. <visible>false</visible>
  12200. <valid>true</valid>
  12201. </parameter>
  12202. <parameter name="isBigEndian">
  12203. <type>boolean</type>
  12204. <value>false</value>
  12205. <derived>false</derived>
  12206. <enabled>true</enabled>
  12207. <visible>false</visible>
  12208. <valid>true</valid>
  12209. </parameter>
  12210. <parameter name="isReadable">
  12211. <type>boolean</type>
  12212. <value>false</value>
  12213. <derived>false</derived>
  12214. <enabled>true</enabled>
  12215. <visible>false</visible>
  12216. <valid>true</valid>
  12217. </parameter>
  12218. <parameter name="isWriteable">
  12219. <type>boolean</type>
  12220. <value>false</value>
  12221. <derived>false</derived>
  12222. <enabled>true</enabled>
  12223. <visible>false</visible>
  12224. <valid>true</valid>
  12225. </parameter>
  12226. <parameter name="linewrapBursts">
  12227. <type>boolean</type>
  12228. <value>false</value>
  12229. <derived>false</derived>
  12230. <enabled>true</enabled>
  12231. <visible>true</visible>
  12232. <valid>true</valid>
  12233. </parameter>
  12234. <parameter name="maxAddressWidth">
  12235. <type>int</type>
  12236. <value>32</value>
  12237. <derived>false</derived>
  12238. <enabled>true</enabled>
  12239. <visible>false</visible>
  12240. <valid>true</valid>
  12241. </parameter>
  12242. <parameter name="maximumPendingReadTransactions">
  12243. <type>int</type>
  12244. <value>0</value>
  12245. <derived>false</derived>
  12246. <enabled>true</enabled>
  12247. <visible>false</visible>
  12248. <valid>true</valid>
  12249. </parameter>
  12250. <parameter name="maximumPendingWriteTransactions">
  12251. <type>int</type>
  12252. <value>0</value>
  12253. <derived>false</derived>
  12254. <enabled>true</enabled>
  12255. <visible>false</visible>
  12256. <valid>true</valid>
  12257. </parameter>
  12258. <parameter name="readLatency">
  12259. <type>int</type>
  12260. <value>1</value>
  12261. <derived>false</derived>
  12262. <enabled>true</enabled>
  12263. <visible>true</visible>
  12264. <valid>true</valid>
  12265. </parameter>
  12266. <parameter name="readWaitTime">
  12267. <type>int</type>
  12268. <value>0</value>
  12269. <derived>false</derived>
  12270. <enabled>true</enabled>
  12271. <visible>false</visible>
  12272. <valid>true</valid>
  12273. </parameter>
  12274. <parameter name="registerIncomingSignals">
  12275. <type>boolean</type>
  12276. <value>false</value>
  12277. <derived>false</derived>
  12278. <enabled>true</enabled>
  12279. <visible>false</visible>
  12280. <valid>true</valid>
  12281. </parameter>
  12282. <parameter name="registerOutgoingSignals">
  12283. <type>boolean</type>
  12284. <value>false</value>
  12285. <derived>false</derived>
  12286. <enabled>true</enabled>
  12287. <visible>false</visible>
  12288. <valid>true</valid>
  12289. </parameter>
  12290. <parameter name="setupTime">
  12291. <type>int</type>
  12292. <value>0</value>
  12293. <derived>false</derived>
  12294. <enabled>true</enabled>
  12295. <visible>false</visible>
  12296. <valid>true</valid>
  12297. </parameter>
  12298. <parameter name="timingUnits">
  12299. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  12300. <value>Cycles</value>
  12301. <derived>false</derived>
  12302. <enabled>true</enabled>
  12303. <visible>false</visible>
  12304. <valid>true</valid>
  12305. </parameter>
  12306. <parameter name="writeWaitTime">
  12307. <type>int</type>
  12308. <value>0</value>
  12309. <derived>false</derived>
  12310. <enabled>true</enabled>
  12311. <visible>false</visible>
  12312. <valid>true</valid>
  12313. </parameter>
  12314. <parameter name="deviceFamily">
  12315. <type>java.lang.String</type>
  12316. <value>UNKNOWN</value>
  12317. <derived>false</derived>
  12318. <enabled>true</enabled>
  12319. <visible>true</visible>
  12320. <valid>true</valid>
  12321. </parameter>
  12322. <parameter name="generateLegacySim">
  12323. <type>boolean</type>
  12324. <value>false</value>
  12325. <derived>false</derived>
  12326. <enabled>true</enabled>
  12327. <visible>true</visible>
  12328. <valid>true</valid>
  12329. </parameter>
  12330. <type>avalon</type>
  12331. <isStart>true</isStart>
  12332. <port>
  12333. <name>onchip_memory2_s1_address</name>
  12334. <direction>Output</direction>
  12335. <width>16</width>
  12336. <role>address</role>
  12337. </port>
  12338. <port>
  12339. <name>onchip_memory2_s1_write</name>
  12340. <direction>Output</direction>
  12341. <width>1</width>
  12342. <role>write</role>
  12343. </port>
  12344. <port>
  12345. <name>onchip_memory2_s1_readdata</name>
  12346. <direction>Input</direction>
  12347. <width>32</width>
  12348. <role>readdata</role>
  12349. </port>
  12350. <port>
  12351. <name>onchip_memory2_s1_writedata</name>
  12352. <direction>Output</direction>
  12353. <width>32</width>
  12354. <role>writedata</role>
  12355. </port>
  12356. <port>
  12357. <name>onchip_memory2_s1_byteenable</name>
  12358. <direction>Output</direction>
  12359. <width>4</width>
  12360. <role>byteenable</role>
  12361. </port>
  12362. <port>
  12363. <name>onchip_memory2_s1_chipselect</name>
  12364. <direction>Output</direction>
  12365. <width>1</width>
  12366. <role>chipselect</role>
  12367. </port>
  12368. <port>
  12369. <name>onchip_memory2_s1_clken</name>
  12370. <direction>Output</direction>
  12371. <width>1</width>
  12372. <role>clken</role>
  12373. </port>
  12374. <memoryBlock>
  12375. <isBridge>false</isBridge>
  12376. <moduleName>onchip_memory2</moduleName>
  12377. <slaveName>s1</slaveName>
  12378. <name>onchip_memory2.s1</name>
  12379. <baseAddress>0</baseAddress>
  12380. <span>204800</span>
  12381. </memoryBlock>
  12382. </interface>
  12383. <interface name="pio_BUTTON_s1" kind="avalon_master" version="18.1">
  12384. <!-- The connection points exposed by a module instance for the
  12385. particular module parameters. Connection points and their
  12386. parameters are a RESULT of the module parameters. -->
  12387. <parameter name="adaptsTo">
  12388. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  12389. <value></value>
  12390. <derived>false</derived>
  12391. <enabled>true</enabled>
  12392. <visible>false</visible>
  12393. <valid>true</valid>
  12394. </parameter>
  12395. <parameter name="addressGroup">
  12396. <type>int</type>
  12397. <value>0</value>
  12398. <derived>false</derived>
  12399. <enabled>true</enabled>
  12400. <visible>false</visible>
  12401. <valid>true</valid>
  12402. </parameter>
  12403. <parameter name="addressUnits">
  12404. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12405. <value>WORDS</value>
  12406. <derived>false</derived>
  12407. <enabled>true</enabled>
  12408. <visible>true</visible>
  12409. <valid>true</valid>
  12410. </parameter>
  12411. <parameter name="alwaysBurstMaxBurst">
  12412. <type>boolean</type>
  12413. <value>false</value>
  12414. <derived>false</derived>
  12415. <enabled>true</enabled>
  12416. <visible>false</visible>
  12417. <valid>true</valid>
  12418. </parameter>
  12419. <parameter name="associatedClock">
  12420. <type>java.lang.String</type>
  12421. <value>clk_50_clk</value>
  12422. <derived>false</derived>
  12423. <enabled>true</enabled>
  12424. <visible>true</visible>
  12425. <valid>true</valid>
  12426. </parameter>
  12427. <parameter name="associatedReset">
  12428. <type>java.lang.String</type>
  12429. <value>nios2_reset_reset_bridge_in_reset</value>
  12430. <derived>false</derived>
  12431. <enabled>true</enabled>
  12432. <visible>true</visible>
  12433. <valid>true</valid>
  12434. </parameter>
  12435. <parameter name="bitsPerSymbol">
  12436. <type>int</type>
  12437. <value>8</value>
  12438. <derived>false</derived>
  12439. <enabled>true</enabled>
  12440. <visible>false</visible>
  12441. <valid>true</valid>
  12442. </parameter>
  12443. <parameter name="burstOnBurstBoundariesOnly">
  12444. <type>boolean</type>
  12445. <value>false</value>
  12446. <derived>false</derived>
  12447. <enabled>true</enabled>
  12448. <visible>true</visible>
  12449. <valid>true</valid>
  12450. </parameter>
  12451. <parameter name="burstcountUnits">
  12452. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12453. <value>WORDS</value>
  12454. <derived>false</derived>
  12455. <enabled>true</enabled>
  12456. <visible>false</visible>
  12457. <valid>true</valid>
  12458. </parameter>
  12459. <parameter name="constantBurstBehavior">
  12460. <type>boolean</type>
  12461. <value>false</value>
  12462. <derived>false</derived>
  12463. <enabled>true</enabled>
  12464. <visible>false</visible>
  12465. <valid>true</valid>
  12466. </parameter>
  12467. <parameter name="dBSBigEndian">
  12468. <type>boolean</type>
  12469. <value>false</value>
  12470. <derived>false</derived>
  12471. <enabled>true</enabled>
  12472. <visible>false</visible>
  12473. <valid>true</valid>
  12474. </parameter>
  12475. <parameter name="doStreamReads">
  12476. <type>boolean</type>
  12477. <value>false</value>
  12478. <derived>false</derived>
  12479. <enabled>true</enabled>
  12480. <visible>true</visible>
  12481. <valid>true</valid>
  12482. </parameter>
  12483. <parameter name="doStreamWrites">
  12484. <type>boolean</type>
  12485. <value>false</value>
  12486. <derived>false</derived>
  12487. <enabled>true</enabled>
  12488. <visible>true</visible>
  12489. <valid>true</valid>
  12490. </parameter>
  12491. <parameter name="holdTime">
  12492. <type>int</type>
  12493. <value>0</value>
  12494. <derived>false</derived>
  12495. <enabled>true</enabled>
  12496. <visible>false</visible>
  12497. <valid>true</valid>
  12498. </parameter>
  12499. <parameter name="interleaveBursts">
  12500. <type>boolean</type>
  12501. <value>false</value>
  12502. <derived>false</derived>
  12503. <enabled>true</enabled>
  12504. <visible>false</visible>
  12505. <valid>true</valid>
  12506. </parameter>
  12507. <parameter name="isAsynchronous">
  12508. <type>boolean</type>
  12509. <value>false</value>
  12510. <derived>false</derived>
  12511. <enabled>true</enabled>
  12512. <visible>false</visible>
  12513. <valid>true</valid>
  12514. </parameter>
  12515. <parameter name="isBigEndian">
  12516. <type>boolean</type>
  12517. <value>false</value>
  12518. <derived>false</derived>
  12519. <enabled>true</enabled>
  12520. <visible>false</visible>
  12521. <valid>true</valid>
  12522. </parameter>
  12523. <parameter name="isReadable">
  12524. <type>boolean</type>
  12525. <value>false</value>
  12526. <derived>false</derived>
  12527. <enabled>true</enabled>
  12528. <visible>false</visible>
  12529. <valid>true</valid>
  12530. </parameter>
  12531. <parameter name="isWriteable">
  12532. <type>boolean</type>
  12533. <value>false</value>
  12534. <derived>false</derived>
  12535. <enabled>true</enabled>
  12536. <visible>false</visible>
  12537. <valid>true</valid>
  12538. </parameter>
  12539. <parameter name="linewrapBursts">
  12540. <type>boolean</type>
  12541. <value>false</value>
  12542. <derived>false</derived>
  12543. <enabled>true</enabled>
  12544. <visible>true</visible>
  12545. <valid>true</valid>
  12546. </parameter>
  12547. <parameter name="maxAddressWidth">
  12548. <type>int</type>
  12549. <value>32</value>
  12550. <derived>false</derived>
  12551. <enabled>true</enabled>
  12552. <visible>false</visible>
  12553. <valid>true</valid>
  12554. </parameter>
  12555. <parameter name="maximumPendingReadTransactions">
  12556. <type>int</type>
  12557. <value>0</value>
  12558. <derived>false</derived>
  12559. <enabled>true</enabled>
  12560. <visible>false</visible>
  12561. <valid>true</valid>
  12562. </parameter>
  12563. <parameter name="maximumPendingWriteTransactions">
  12564. <type>int</type>
  12565. <value>0</value>
  12566. <derived>false</derived>
  12567. <enabled>true</enabled>
  12568. <visible>false</visible>
  12569. <valid>true</valid>
  12570. </parameter>
  12571. <parameter name="readLatency">
  12572. <type>int</type>
  12573. <value>0</value>
  12574. <derived>false</derived>
  12575. <enabled>true</enabled>
  12576. <visible>true</visible>
  12577. <valid>true</valid>
  12578. </parameter>
  12579. <parameter name="readWaitTime">
  12580. <type>int</type>
  12581. <value>1</value>
  12582. <derived>false</derived>
  12583. <enabled>true</enabled>
  12584. <visible>false</visible>
  12585. <valid>true</valid>
  12586. </parameter>
  12587. <parameter name="registerIncomingSignals">
  12588. <type>boolean</type>
  12589. <value>false</value>
  12590. <derived>false</derived>
  12591. <enabled>true</enabled>
  12592. <visible>false</visible>
  12593. <valid>true</valid>
  12594. </parameter>
  12595. <parameter name="registerOutgoingSignals">
  12596. <type>boolean</type>
  12597. <value>false</value>
  12598. <derived>false</derived>
  12599. <enabled>true</enabled>
  12600. <visible>false</visible>
  12601. <valid>true</valid>
  12602. </parameter>
  12603. <parameter name="setupTime">
  12604. <type>int</type>
  12605. <value>0</value>
  12606. <derived>false</derived>
  12607. <enabled>true</enabled>
  12608. <visible>false</visible>
  12609. <valid>true</valid>
  12610. </parameter>
  12611. <parameter name="timingUnits">
  12612. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  12613. <value>Cycles</value>
  12614. <derived>false</derived>
  12615. <enabled>true</enabled>
  12616. <visible>false</visible>
  12617. <valid>true</valid>
  12618. </parameter>
  12619. <parameter name="writeWaitTime">
  12620. <type>int</type>
  12621. <value>0</value>
  12622. <derived>false</derived>
  12623. <enabled>true</enabled>
  12624. <visible>false</visible>
  12625. <valid>true</valid>
  12626. </parameter>
  12627. <parameter name="deviceFamily">
  12628. <type>java.lang.String</type>
  12629. <value>UNKNOWN</value>
  12630. <derived>false</derived>
  12631. <enabled>true</enabled>
  12632. <visible>true</visible>
  12633. <valid>true</valid>
  12634. </parameter>
  12635. <parameter name="generateLegacySim">
  12636. <type>boolean</type>
  12637. <value>false</value>
  12638. <derived>false</derived>
  12639. <enabled>true</enabled>
  12640. <visible>true</visible>
  12641. <valid>true</valid>
  12642. </parameter>
  12643. <type>avalon</type>
  12644. <isStart>true</isStart>
  12645. <port>
  12646. <name>pio_BUTTON_s1_address</name>
  12647. <direction>Output</direction>
  12648. <width>2</width>
  12649. <role>address</role>
  12650. </port>
  12651. <port>
  12652. <name>pio_BUTTON_s1_readdata</name>
  12653. <direction>Input</direction>
  12654. <width>32</width>
  12655. <role>readdata</role>
  12656. </port>
  12657. <memoryBlock>
  12658. <isBridge>false</isBridge>
  12659. <moduleName>pio_BUTTON</moduleName>
  12660. <slaveName>s1</slaveName>
  12661. <name>pio_BUTTON.s1</name>
  12662. <baseAddress>0</baseAddress>
  12663. <span>16</span>
  12664. </memoryBlock>
  12665. </interface>
  12666. <interface name="pio_LED_s1" kind="avalon_master" version="18.1">
  12667. <!-- The connection points exposed by a module instance for the
  12668. particular module parameters. Connection points and their
  12669. parameters are a RESULT of the module parameters. -->
  12670. <parameter name="adaptsTo">
  12671. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  12672. <value></value>
  12673. <derived>false</derived>
  12674. <enabled>true</enabled>
  12675. <visible>false</visible>
  12676. <valid>true</valid>
  12677. </parameter>
  12678. <parameter name="addressGroup">
  12679. <type>int</type>
  12680. <value>0</value>
  12681. <derived>false</derived>
  12682. <enabled>true</enabled>
  12683. <visible>false</visible>
  12684. <valid>true</valid>
  12685. </parameter>
  12686. <parameter name="addressUnits">
  12687. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12688. <value>WORDS</value>
  12689. <derived>false</derived>
  12690. <enabled>true</enabled>
  12691. <visible>true</visible>
  12692. <valid>true</valid>
  12693. </parameter>
  12694. <parameter name="alwaysBurstMaxBurst">
  12695. <type>boolean</type>
  12696. <value>false</value>
  12697. <derived>false</derived>
  12698. <enabled>true</enabled>
  12699. <visible>false</visible>
  12700. <valid>true</valid>
  12701. </parameter>
  12702. <parameter name="associatedClock">
  12703. <type>java.lang.String</type>
  12704. <value>clk_50_clk</value>
  12705. <derived>false</derived>
  12706. <enabled>true</enabled>
  12707. <visible>true</visible>
  12708. <valid>true</valid>
  12709. </parameter>
  12710. <parameter name="associatedReset">
  12711. <type>java.lang.String</type>
  12712. <value>nios2_reset_reset_bridge_in_reset</value>
  12713. <derived>false</derived>
  12714. <enabled>true</enabled>
  12715. <visible>true</visible>
  12716. <valid>true</valid>
  12717. </parameter>
  12718. <parameter name="bitsPerSymbol">
  12719. <type>int</type>
  12720. <value>8</value>
  12721. <derived>false</derived>
  12722. <enabled>true</enabled>
  12723. <visible>false</visible>
  12724. <valid>true</valid>
  12725. </parameter>
  12726. <parameter name="burstOnBurstBoundariesOnly">
  12727. <type>boolean</type>
  12728. <value>false</value>
  12729. <derived>false</derived>
  12730. <enabled>true</enabled>
  12731. <visible>true</visible>
  12732. <valid>true</valid>
  12733. </parameter>
  12734. <parameter name="burstcountUnits">
  12735. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12736. <value>WORDS</value>
  12737. <derived>false</derived>
  12738. <enabled>true</enabled>
  12739. <visible>false</visible>
  12740. <valid>true</valid>
  12741. </parameter>
  12742. <parameter name="constantBurstBehavior">
  12743. <type>boolean</type>
  12744. <value>false</value>
  12745. <derived>false</derived>
  12746. <enabled>true</enabled>
  12747. <visible>false</visible>
  12748. <valid>true</valid>
  12749. </parameter>
  12750. <parameter name="dBSBigEndian">
  12751. <type>boolean</type>
  12752. <value>false</value>
  12753. <derived>false</derived>
  12754. <enabled>true</enabled>
  12755. <visible>false</visible>
  12756. <valid>true</valid>
  12757. </parameter>
  12758. <parameter name="doStreamReads">
  12759. <type>boolean</type>
  12760. <value>false</value>
  12761. <derived>false</derived>
  12762. <enabled>true</enabled>
  12763. <visible>true</visible>
  12764. <valid>true</valid>
  12765. </parameter>
  12766. <parameter name="doStreamWrites">
  12767. <type>boolean</type>
  12768. <value>false</value>
  12769. <derived>false</derived>
  12770. <enabled>true</enabled>
  12771. <visible>true</visible>
  12772. <valid>true</valid>
  12773. </parameter>
  12774. <parameter name="holdTime">
  12775. <type>int</type>
  12776. <value>0</value>
  12777. <derived>false</derived>
  12778. <enabled>true</enabled>
  12779. <visible>false</visible>
  12780. <valid>true</valid>
  12781. </parameter>
  12782. <parameter name="interleaveBursts">
  12783. <type>boolean</type>
  12784. <value>false</value>
  12785. <derived>false</derived>
  12786. <enabled>true</enabled>
  12787. <visible>false</visible>
  12788. <valid>true</valid>
  12789. </parameter>
  12790. <parameter name="isAsynchronous">
  12791. <type>boolean</type>
  12792. <value>false</value>
  12793. <derived>false</derived>
  12794. <enabled>true</enabled>
  12795. <visible>false</visible>
  12796. <valid>true</valid>
  12797. </parameter>
  12798. <parameter name="isBigEndian">
  12799. <type>boolean</type>
  12800. <value>false</value>
  12801. <derived>false</derived>
  12802. <enabled>true</enabled>
  12803. <visible>false</visible>
  12804. <valid>true</valid>
  12805. </parameter>
  12806. <parameter name="isReadable">
  12807. <type>boolean</type>
  12808. <value>false</value>
  12809. <derived>false</derived>
  12810. <enabled>true</enabled>
  12811. <visible>false</visible>
  12812. <valid>true</valid>
  12813. </parameter>
  12814. <parameter name="isWriteable">
  12815. <type>boolean</type>
  12816. <value>false</value>
  12817. <derived>false</derived>
  12818. <enabled>true</enabled>
  12819. <visible>false</visible>
  12820. <valid>true</valid>
  12821. </parameter>
  12822. <parameter name="linewrapBursts">
  12823. <type>boolean</type>
  12824. <value>false</value>
  12825. <derived>false</derived>
  12826. <enabled>true</enabled>
  12827. <visible>true</visible>
  12828. <valid>true</valid>
  12829. </parameter>
  12830. <parameter name="maxAddressWidth">
  12831. <type>int</type>
  12832. <value>32</value>
  12833. <derived>false</derived>
  12834. <enabled>true</enabled>
  12835. <visible>false</visible>
  12836. <valid>true</valid>
  12837. </parameter>
  12838. <parameter name="maximumPendingReadTransactions">
  12839. <type>int</type>
  12840. <value>0</value>
  12841. <derived>false</derived>
  12842. <enabled>true</enabled>
  12843. <visible>false</visible>
  12844. <valid>true</valid>
  12845. </parameter>
  12846. <parameter name="maximumPendingWriteTransactions">
  12847. <type>int</type>
  12848. <value>0</value>
  12849. <derived>false</derived>
  12850. <enabled>true</enabled>
  12851. <visible>false</visible>
  12852. <valid>true</valid>
  12853. </parameter>
  12854. <parameter name="readLatency">
  12855. <type>int</type>
  12856. <value>0</value>
  12857. <derived>false</derived>
  12858. <enabled>true</enabled>
  12859. <visible>true</visible>
  12860. <valid>true</valid>
  12861. </parameter>
  12862. <parameter name="readWaitTime">
  12863. <type>int</type>
  12864. <value>1</value>
  12865. <derived>false</derived>
  12866. <enabled>true</enabled>
  12867. <visible>false</visible>
  12868. <valid>true</valid>
  12869. </parameter>
  12870. <parameter name="registerIncomingSignals">
  12871. <type>boolean</type>
  12872. <value>false</value>
  12873. <derived>false</derived>
  12874. <enabled>true</enabled>
  12875. <visible>false</visible>
  12876. <valid>true</valid>
  12877. </parameter>
  12878. <parameter name="registerOutgoingSignals">
  12879. <type>boolean</type>
  12880. <value>false</value>
  12881. <derived>false</derived>
  12882. <enabled>true</enabled>
  12883. <visible>false</visible>
  12884. <valid>true</valid>
  12885. </parameter>
  12886. <parameter name="setupTime">
  12887. <type>int</type>
  12888. <value>0</value>
  12889. <derived>false</derived>
  12890. <enabled>true</enabled>
  12891. <visible>false</visible>
  12892. <valid>true</valid>
  12893. </parameter>
  12894. <parameter name="timingUnits">
  12895. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  12896. <value>Cycles</value>
  12897. <derived>false</derived>
  12898. <enabled>true</enabled>
  12899. <visible>false</visible>
  12900. <valid>true</valid>
  12901. </parameter>
  12902. <parameter name="writeWaitTime">
  12903. <type>int</type>
  12904. <value>0</value>
  12905. <derived>false</derived>
  12906. <enabled>true</enabled>
  12907. <visible>false</visible>
  12908. <valid>true</valid>
  12909. </parameter>
  12910. <parameter name="deviceFamily">
  12911. <type>java.lang.String</type>
  12912. <value>UNKNOWN</value>
  12913. <derived>false</derived>
  12914. <enabled>true</enabled>
  12915. <visible>true</visible>
  12916. <valid>true</valid>
  12917. </parameter>
  12918. <parameter name="generateLegacySim">
  12919. <type>boolean</type>
  12920. <value>false</value>
  12921. <derived>false</derived>
  12922. <enabled>true</enabled>
  12923. <visible>true</visible>
  12924. <valid>true</valid>
  12925. </parameter>
  12926. <type>avalon</type>
  12927. <isStart>true</isStart>
  12928. <port>
  12929. <name>pio_LED_s1_address</name>
  12930. <direction>Output</direction>
  12931. <width>2</width>
  12932. <role>address</role>
  12933. </port>
  12934. <port>
  12935. <name>pio_LED_s1_write</name>
  12936. <direction>Output</direction>
  12937. <width>1</width>
  12938. <role>write</role>
  12939. </port>
  12940. <port>
  12941. <name>pio_LED_s1_readdata</name>
  12942. <direction>Input</direction>
  12943. <width>32</width>
  12944. <role>readdata</role>
  12945. </port>
  12946. <port>
  12947. <name>pio_LED_s1_writedata</name>
  12948. <direction>Output</direction>
  12949. <width>32</width>
  12950. <role>writedata</role>
  12951. </port>
  12952. <port>
  12953. <name>pio_LED_s1_chipselect</name>
  12954. <direction>Output</direction>
  12955. <width>1</width>
  12956. <role>chipselect</role>
  12957. </port>
  12958. <memoryBlock>
  12959. <isBridge>false</isBridge>
  12960. <moduleName>pio_LED</moduleName>
  12961. <slaveName>s1</slaveName>
  12962. <name>pio_LED.s1</name>
  12963. <baseAddress>0</baseAddress>
  12964. <span>16</span>
  12965. </memoryBlock>
  12966. </interface>
  12967. <interface name="pio_MATRIX_s1" kind="avalon_master" version="18.1">
  12968. <!-- The connection points exposed by a module instance for the
  12969. particular module parameters. Connection points and their
  12970. parameters are a RESULT of the module parameters. -->
  12971. <parameter name="adaptsTo">
  12972. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  12973. <value></value>
  12974. <derived>false</derived>
  12975. <enabled>true</enabled>
  12976. <visible>false</visible>
  12977. <valid>true</valid>
  12978. </parameter>
  12979. <parameter name="addressGroup">
  12980. <type>int</type>
  12981. <value>0</value>
  12982. <derived>false</derived>
  12983. <enabled>true</enabled>
  12984. <visible>false</visible>
  12985. <valid>true</valid>
  12986. </parameter>
  12987. <parameter name="addressUnits">
  12988. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  12989. <value>WORDS</value>
  12990. <derived>false</derived>
  12991. <enabled>true</enabled>
  12992. <visible>true</visible>
  12993. <valid>true</valid>
  12994. </parameter>
  12995. <parameter name="alwaysBurstMaxBurst">
  12996. <type>boolean</type>
  12997. <value>false</value>
  12998. <derived>false</derived>
  12999. <enabled>true</enabled>
  13000. <visible>false</visible>
  13001. <valid>true</valid>
  13002. </parameter>
  13003. <parameter name="associatedClock">
  13004. <type>java.lang.String</type>
  13005. <value>clk_50_clk</value>
  13006. <derived>false</derived>
  13007. <enabled>true</enabled>
  13008. <visible>true</visible>
  13009. <valid>true</valid>
  13010. </parameter>
  13011. <parameter name="associatedReset">
  13012. <type>java.lang.String</type>
  13013. <value>nios2_reset_reset_bridge_in_reset</value>
  13014. <derived>false</derived>
  13015. <enabled>true</enabled>
  13016. <visible>true</visible>
  13017. <valid>true</valid>
  13018. </parameter>
  13019. <parameter name="bitsPerSymbol">
  13020. <type>int</type>
  13021. <value>8</value>
  13022. <derived>false</derived>
  13023. <enabled>true</enabled>
  13024. <visible>false</visible>
  13025. <valid>true</valid>
  13026. </parameter>
  13027. <parameter name="burstOnBurstBoundariesOnly">
  13028. <type>boolean</type>
  13029. <value>false</value>
  13030. <derived>false</derived>
  13031. <enabled>true</enabled>
  13032. <visible>true</visible>
  13033. <valid>true</valid>
  13034. </parameter>
  13035. <parameter name="burstcountUnits">
  13036. <type>com.altera.sopcmodel.avalon.EAddrBurstUnits</type>
  13037. <value>WORDS</value>
  13038. <derived>false</derived>
  13039. <enabled>true</enabled>
  13040. <visible>false</visible>
  13041. <valid>true</valid>
  13042. </parameter>
  13043. <parameter name="constantBurstBehavior">
  13044. <type>boolean</type>
  13045. <value>false</value>
  13046. <derived>false</derived>
  13047. <enabled>true</enabled>
  13048. <visible>false</visible>
  13049. <valid>true</valid>
  13050. </parameter>
  13051. <parameter name="dBSBigEndian">
  13052. <type>boolean</type>
  13053. <value>false</value>
  13054. <derived>false</derived>
  13055. <enabled>true</enabled>
  13056. <visible>false</visible>
  13057. <valid>true</valid>
  13058. </parameter>
  13059. <parameter name="doStreamReads">
  13060. <type>boolean</type>
  13061. <value>false</value>
  13062. <derived>false</derived>
  13063. <enabled>true</enabled>
  13064. <visible>true</visible>
  13065. <valid>true</valid>
  13066. </parameter>
  13067. <parameter name="doStreamWrites">
  13068. <type>boolean</type>
  13069. <value>false</value>
  13070. <derived>false</derived>
  13071. <enabled>true</enabled>
  13072. <visible>true</visible>
  13073. <valid>true</valid>
  13074. </parameter>
  13075. <parameter name="holdTime">
  13076. <type>int</type>
  13077. <value>0</value>
  13078. <derived>false</derived>
  13079. <enabled>true</enabled>
  13080. <visible>false</visible>
  13081. <valid>true</valid>
  13082. </parameter>
  13083. <parameter name="interleaveBursts">
  13084. <type>boolean</type>
  13085. <value>false</value>
  13086. <derived>false</derived>
  13087. <enabled>true</enabled>
  13088. <visible>false</visible>
  13089. <valid>true</valid>
  13090. </parameter>
  13091. <parameter name="isAsynchronous">
  13092. <type>boolean</type>
  13093. <value>false</value>
  13094. <derived>false</derived>
  13095. <enabled>true</enabled>
  13096. <visible>false</visible>
  13097. <valid>true</valid>
  13098. </parameter>
  13099. <parameter name="isBigEndian">
  13100. <type>boolean</type>
  13101. <value>false</value>
  13102. <derived>false</derived>
  13103. <enabled>true</enabled>
  13104. <visible>false</visible>
  13105. <valid>true</valid>
  13106. </parameter>
  13107. <parameter name="isReadable">
  13108. <type>boolean</type>
  13109. <value>false</value>
  13110. <derived>false</derived>
  13111. <enabled>true</enabled>
  13112. <visible>false</visible>
  13113. <valid>true</valid>
  13114. </parameter>
  13115. <parameter name="isWriteable">
  13116. <type>boolean</type>
  13117. <value>false</value>
  13118. <derived>false</derived>
  13119. <enabled>true</enabled>
  13120. <visible>false</visible>
  13121. <valid>true</valid>
  13122. </parameter>
  13123. <parameter name="linewrapBursts">
  13124. <type>boolean</type>
  13125. <value>false</value>
  13126. <derived>false</derived>
  13127. <enabled>true</enabled>
  13128. <visible>true</visible>
  13129. <valid>true</valid>
  13130. </parameter>
  13131. <parameter name="maxAddressWidth">
  13132. <type>int</type>
  13133. <value>32</value>
  13134. <derived>false</derived>
  13135. <enabled>true</enabled>
  13136. <visible>false</visible>
  13137. <valid>true</valid>
  13138. </parameter>
  13139. <parameter name="maximumPendingReadTransactions">
  13140. <type>int</type>
  13141. <value>0</value>
  13142. <derived>false</derived>
  13143. <enabled>true</enabled>
  13144. <visible>false</visible>
  13145. <valid>true</valid>
  13146. </parameter>
  13147. <parameter name="maximumPendingWriteTransactions">
  13148. <type>int</type>
  13149. <value>0</value>
  13150. <derived>false</derived>
  13151. <enabled>true</enabled>
  13152. <visible>false</visible>
  13153. <valid>true</valid>
  13154. </parameter>
  13155. <parameter name="readLatency">
  13156. <type>int</type>
  13157. <value>0</value>
  13158. <derived>false</derived>
  13159. <enabled>true</enabled>
  13160. <visible>true</visible>
  13161. <valid>true</valid>
  13162. </parameter>
  13163. <parameter name="readWaitTime">
  13164. <type>int</type>
  13165. <value>1</value>
  13166. <derived>false</derived>
  13167. <enabled>true</enabled>
  13168. <visible>false</visible>
  13169. <valid>true</valid>
  13170. </parameter>
  13171. <parameter name="registerIncomingSignals">
  13172. <type>boolean</type>
  13173. <value>false</value>
  13174. <derived>false</derived>
  13175. <enabled>true</enabled>
  13176. <visible>false</visible>
  13177. <valid>true</valid>
  13178. </parameter>
  13179. <parameter name="registerOutgoingSignals">
  13180. <type>boolean</type>
  13181. <value>false</value>
  13182. <derived>false</derived>
  13183. <enabled>true</enabled>
  13184. <visible>false</visible>
  13185. <valid>true</valid>
  13186. </parameter>
  13187. <parameter name="setupTime">
  13188. <type>int</type>
  13189. <value>0</value>
  13190. <derived>false</derived>
  13191. <enabled>true</enabled>
  13192. <visible>false</visible>
  13193. <valid>true</valid>
  13194. </parameter>
  13195. <parameter name="timingUnits">
  13196. <type>com.altera.sopcmodel.avalon.TimingUnits</type>
  13197. <value>Cycles</value>
  13198. <derived>false</derived>
  13199. <enabled>true</enabled>
  13200. <visible>false</visible>
  13201. <valid>true</valid>
  13202. </parameter>
  13203. <parameter name="writeWaitTime">
  13204. <type>int</type>
  13205. <value>0</value>
  13206. <derived>false</derived>
  13207. <enabled>true</enabled>
  13208. <visible>false</visible>
  13209. <valid>true</valid>
  13210. </parameter>
  13211. <parameter name="deviceFamily">
  13212. <type>java.lang.String</type>
  13213. <value>UNKNOWN</value>
  13214. <derived>false</derived>
  13215. <enabled>true</enabled>
  13216. <visible>true</visible>
  13217. <valid>true</valid>
  13218. </parameter>
  13219. <parameter name="generateLegacySim">
  13220. <type>boolean</type>
  13221. <value>false</value>
  13222. <derived>false</derived>
  13223. <enabled>true</enabled>
  13224. <visible>true</visible>
  13225. <valid>true</valid>
  13226. </parameter>
  13227. <type>avalon</type>
  13228. <isStart>true</isStart>
  13229. <port>
  13230. <name>pio_MATRIX_s1_address</name>
  13231. <direction>Output</direction>
  13232. <width>2</width>
  13233. <role>address</role>
  13234. </port>
  13235. <port>
  13236. <name>pio_MATRIX_s1_write</name>
  13237. <direction>Output</direction>
  13238. <width>1</width>
  13239. <role>write</role>
  13240. </port>
  13241. <port>
  13242. <name>pio_MATRIX_s1_readdata</name>
  13243. <direction>Input</direction>
  13244. <width>32</width>
  13245. <role>readdata</role>
  13246. </port>
  13247. <port>
  13248. <name>pio_MATRIX_s1_writedata</name>
  13249. <direction>Output</direction>
  13250. <width>32</width>
  13251. <role>writedata</role>
  13252. </port>
  13253. <port>
  13254. <name>pio_MATRIX_s1_chipselect</name>
  13255. <direction>Output</direction>
  13256. <width>1</width>
  13257. <role>chipselect</role>
  13258. </port>
  13259. <memoryBlock>
  13260. <isBridge>false</isBridge>
  13261. <moduleName>pio_MATRIX</moduleName>
  13262. <slaveName>s1</slaveName>
  13263. <name>pio_MATRIX.s1</name>
  13264. <baseAddress>0</baseAddress>
  13265. <span>16</span>
  13266. </memoryBlock>
  13267. </interface>
  13268. </module>
  13269. <module
  13270. name="irq_mapper"
  13271. kind="altera_irq_mapper"
  13272. version="18.1"
  13273. path="irq_mapper">
  13274. <!-- Describes a single module. Module parameters are
  13275. the requested settings for a module instance. -->
  13276. <parameter name="NUM_RCVRS">
  13277. <type>int</type>
  13278. <value>1</value>
  13279. <derived>false</derived>
  13280. <enabled>true</enabled>
  13281. <visible>true</visible>
  13282. <valid>true</valid>
  13283. </parameter>
  13284. <parameter name="SENDER_IRQ_WIDTH">
  13285. <type>int</type>
  13286. <value>32</value>
  13287. <derived>false</derived>
  13288. <enabled>true</enabled>
  13289. <visible>true</visible>
  13290. <valid>true</valid>
  13291. </parameter>
  13292. <parameter name="IRQ_MAP">
  13293. <type>java.lang.String</type>
  13294. <value>0:0</value>
  13295. <derived>false</derived>
  13296. <enabled>true</enabled>
  13297. <visible>true</visible>
  13298. <valid>true</valid>
  13299. </parameter>
  13300. <parameter name="AUTO_DEVICE_FAMILY">
  13301. <type>java.lang.String</type>
  13302. <value>CYCLONEIVE</value>
  13303. <derived>false</derived>
  13304. <enabled>true</enabled>
  13305. <visible>false</visible>
  13306. <valid>true</valid>
  13307. <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  13308. </parameter>
  13309. <parameter name="deviceFamily">
  13310. <type>java.lang.String</type>
  13311. <value>Cyclone IV E</value>
  13312. <derived>false</derived>
  13313. <enabled>true</enabled>
  13314. <visible>false</visible>
  13315. <valid>true</valid>
  13316. <sysinfo_type>DEVICE_FAMILY</sysinfo_type>
  13317. </parameter>
  13318. <parameter name="generateLegacySim">
  13319. <type>boolean</type>
  13320. <value>false</value>
  13321. <derived>false</derived>
  13322. <enabled>true</enabled>
  13323. <visible>true</visible>
  13324. <valid>true</valid>
  13325. </parameter>
  13326. <interface name="clk" kind="clock_sink" version="18.1">
  13327. <!-- The connection points exposed by a module instance for the
  13328. particular module parameters. Connection points and their
  13329. parameters are a RESULT of the module parameters. -->
  13330. <parameter name="externallyDriven">
  13331. <type>boolean</type>
  13332. <value>false</value>
  13333. <derived>false</derived>
  13334. <enabled>true</enabled>
  13335. <visible>false</visible>
  13336. <valid>true</valid>
  13337. </parameter>
  13338. <parameter name="ptfSchematicName">
  13339. <type>java.lang.String</type>
  13340. <value></value>
  13341. <derived>false</derived>
  13342. <enabled>true</enabled>
  13343. <visible>false</visible>
  13344. <valid>true</valid>
  13345. </parameter>
  13346. <parameter name="deviceFamily">
  13347. <type>java.lang.String</type>
  13348. <value>UNKNOWN</value>
  13349. <derived>false</derived>
  13350. <enabled>true</enabled>
  13351. <visible>true</visible>
  13352. <valid>true</valid>
  13353. </parameter>
  13354. <parameter name="generateLegacySim">
  13355. <type>boolean</type>
  13356. <value>false</value>
  13357. <derived>false</derived>
  13358. <enabled>true</enabled>
  13359. <visible>true</visible>
  13360. <valid>true</valid>
  13361. </parameter>
  13362. <type>clock</type>
  13363. <isStart>false</isStart>
  13364. <port>
  13365. <name>clk</name>
  13366. <direction>Input</direction>
  13367. <width>1</width>
  13368. <role>clk</role>
  13369. </port>
  13370. </interface>
  13371. <interface name="clk_reset" kind="reset_sink" version="18.1">
  13372. <!-- The connection points exposed by a module instance for the
  13373. particular module parameters. Connection points and their
  13374. parameters are a RESULT of the module parameters. -->
  13375. <parameter name="associatedClock">
  13376. <type>java.lang.String</type>
  13377. <value>clk</value>
  13378. <derived>false</derived>
  13379. <enabled>true</enabled>
  13380. <visible>true</visible>
  13381. <valid>true</valid>
  13382. </parameter>
  13383. <parameter name="synchronousEdges">
  13384. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  13385. <value>DEASSERT</value>
  13386. <derived>false</derived>
  13387. <enabled>true</enabled>
  13388. <visible>true</visible>
  13389. <valid>true</valid>
  13390. </parameter>
  13391. <parameter name="deviceFamily">
  13392. <type>java.lang.String</type>
  13393. <value>UNKNOWN</value>
  13394. <derived>false</derived>
  13395. <enabled>true</enabled>
  13396. <visible>true</visible>
  13397. <valid>true</valid>
  13398. </parameter>
  13399. <parameter name="generateLegacySim">
  13400. <type>boolean</type>
  13401. <value>false</value>
  13402. <derived>false</derived>
  13403. <enabled>true</enabled>
  13404. <visible>true</visible>
  13405. <valid>true</valid>
  13406. </parameter>
  13407. <type>reset</type>
  13408. <isStart>false</isStart>
  13409. <port>
  13410. <name>reset</name>
  13411. <direction>Input</direction>
  13412. <width>1</width>
  13413. <role>reset</role>
  13414. </port>
  13415. </interface>
  13416. <interface name="receiver0" kind="interrupt_receiver" version="18.1">
  13417. <!-- The connection points exposed by a module instance for the
  13418. particular module parameters. Connection points and their
  13419. parameters are a RESULT of the module parameters. -->
  13420. <parameter name="associatedAddressablePoint">
  13421. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  13422. <value></value>
  13423. <derived>false</derived>
  13424. <enabled>true</enabled>
  13425. <visible>true</visible>
  13426. <valid>true</valid>
  13427. </parameter>
  13428. <parameter name="associatedClock">
  13429. <type>java.lang.String</type>
  13430. <value>clk</value>
  13431. <derived>false</derived>
  13432. <enabled>true</enabled>
  13433. <visible>false</visible>
  13434. <valid>true</valid>
  13435. </parameter>
  13436. <parameter name="associatedReset">
  13437. <type>java.lang.String</type>
  13438. <value>clk_reset</value>
  13439. <derived>false</derived>
  13440. <enabled>true</enabled>
  13441. <visible>false</visible>
  13442. <valid>true</valid>
  13443. </parameter>
  13444. <parameter name="irqMap">
  13445. <type>java.lang.String</type>
  13446. <value></value>
  13447. <derived>false</derived>
  13448. <enabled>true</enabled>
  13449. <visible>false</visible>
  13450. <valid>true</valid>
  13451. </parameter>
  13452. <parameter name="irqScheme">
  13453. <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
  13454. <value>INDIVIDUAL_REQUESTS</value>
  13455. <derived>false</derived>
  13456. <enabled>true</enabled>
  13457. <visible>true</visible>
  13458. <valid>true</valid>
  13459. </parameter>
  13460. <parameter name="deviceFamily">
  13461. <type>java.lang.String</type>
  13462. <value>UNKNOWN</value>
  13463. <derived>false</derived>
  13464. <enabled>true</enabled>
  13465. <visible>true</visible>
  13466. <valid>true</valid>
  13467. </parameter>
  13468. <parameter name="generateLegacySim">
  13469. <type>boolean</type>
  13470. <value>false</value>
  13471. <derived>false</derived>
  13472. <enabled>true</enabled>
  13473. <visible>true</visible>
  13474. <valid>true</valid>
  13475. </parameter>
  13476. <type>interrupt</type>
  13477. <isStart>true</isStart>
  13478. <port>
  13479. <name>receiver0_irq</name>
  13480. <direction>Input</direction>
  13481. <width>1</width>
  13482. <role>irq</role>
  13483. </port>
  13484. <interrupt>
  13485. <isBridge>false</isBridge>
  13486. <moduleName>jtag_uart</moduleName>
  13487. <slaveName>irq</slaveName>
  13488. <name>jtag_uart.irq</name>
  13489. <interruptNumber>0</interruptNumber>
  13490. </interrupt>
  13491. </interface>
  13492. <interface name="sender" kind="interrupt_sender" version="18.1">
  13493. <!-- The connection points exposed by a module instance for the
  13494. particular module parameters. Connection points and their
  13495. parameters are a RESULT of the module parameters. -->
  13496. <parameter name="associatedAddressablePoint">
  13497. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  13498. <value></value>
  13499. <derived>false</derived>
  13500. <enabled>true</enabled>
  13501. <visible>true</visible>
  13502. <valid>true</valid>
  13503. </parameter>
  13504. <parameter name="associatedClock">
  13505. <type>java.lang.String</type>
  13506. <value>clk</value>
  13507. <derived>false</derived>
  13508. <enabled>true</enabled>
  13509. <visible>false</visible>
  13510. <valid>true</valid>
  13511. </parameter>
  13512. <parameter name="associatedReset">
  13513. <type>java.lang.String</type>
  13514. <value>clk_reset</value>
  13515. <derived>false</derived>
  13516. <enabled>true</enabled>
  13517. <visible>false</visible>
  13518. <valid>true</valid>
  13519. </parameter>
  13520. <parameter name="bridgedReceiverOffset">
  13521. <type>java.lang.Integer</type>
  13522. <value></value>
  13523. <derived>false</derived>
  13524. <enabled>true</enabled>
  13525. <visible>true</visible>
  13526. <valid>true</valid>
  13527. </parameter>
  13528. <parameter name="bridgesToReceiver">
  13529. <type>com.altera.entityinterfaces.IConnectionPoint</type>
  13530. <value></value>
  13531. <derived>false</derived>
  13532. <enabled>true</enabled>
  13533. <visible>true</visible>
  13534. <valid>true</valid>
  13535. </parameter>
  13536. <parameter name="irqScheme">
  13537. <type>com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme</type>
  13538. <value>NONE</value>
  13539. <derived>false</derived>
  13540. <enabled>true</enabled>
  13541. <visible>false</visible>
  13542. <valid>true</valid>
  13543. </parameter>
  13544. <parameter name="deviceFamily">
  13545. <type>java.lang.String</type>
  13546. <value>UNKNOWN</value>
  13547. <derived>false</derived>
  13548. <enabled>true</enabled>
  13549. <visible>true</visible>
  13550. <valid>true</valid>
  13551. </parameter>
  13552. <parameter name="generateLegacySim">
  13553. <type>boolean</type>
  13554. <value>false</value>
  13555. <derived>false</derived>
  13556. <enabled>true</enabled>
  13557. <visible>true</visible>
  13558. <valid>true</valid>
  13559. </parameter>
  13560. <type>interrupt</type>
  13561. <isStart>false</isStart>
  13562. <port>
  13563. <name>sender_irq</name>
  13564. <direction>Output</direction>
  13565. <width>32</width>
  13566. <role>irq</role>
  13567. </port>
  13568. </interface>
  13569. </module>
  13570. <module
  13571. name="rst_controller"
  13572. kind="altera_reset_controller"
  13573. version="18.1"
  13574. path="rst_controller">
  13575. <!-- Describes a single module. Module parameters are
  13576. the requested settings for a module instance. -->
  13577. <parameter name="NUM_RESET_INPUTS">
  13578. <type>int</type>
  13579. <value>2</value>
  13580. <derived>false</derived>
  13581. <enabled>true</enabled>
  13582. <visible>true</visible>
  13583. <valid>true</valid>
  13584. </parameter>
  13585. <parameter name="OUTPUT_RESET_SYNC_EDGES">
  13586. <type>java.lang.String</type>
  13587. <value>deassert</value>
  13588. <derived>false</derived>
  13589. <enabled>true</enabled>
  13590. <visible>true</visible>
  13591. <valid>true</valid>
  13592. </parameter>
  13593. <parameter name="SYNC_DEPTH">
  13594. <type>int</type>
  13595. <value>2</value>
  13596. <derived>false</derived>
  13597. <enabled>true</enabled>
  13598. <visible>true</visible>
  13599. <valid>true</valid>
  13600. </parameter>
  13601. <parameter name="RESET_REQUEST_PRESENT">
  13602. <type>int</type>
  13603. <value>1</value>
  13604. <derived>false</derived>
  13605. <enabled>true</enabled>
  13606. <visible>true</visible>
  13607. <valid>true</valid>
  13608. </parameter>
  13609. <parameter name="RESET_REQ_WAIT_TIME">
  13610. <type>int</type>
  13611. <value>1</value>
  13612. <derived>false</derived>
  13613. <enabled>true</enabled>
  13614. <visible>true</visible>
  13615. <valid>true</valid>
  13616. </parameter>
  13617. <parameter name="MIN_RST_ASSERTION_TIME">
  13618. <type>int</type>
  13619. <value>3</value>
  13620. <derived>false</derived>
  13621. <enabled>true</enabled>
  13622. <visible>true</visible>
  13623. <valid>true</valid>
  13624. </parameter>
  13625. <parameter name="RESET_REQ_EARLY_DSRT_TIME">
  13626. <type>int</type>
  13627. <value>1</value>
  13628. <derived>false</derived>
  13629. <enabled>true</enabled>
  13630. <visible>true</visible>
  13631. <valid>true</valid>
  13632. </parameter>
  13633. <parameter name="USE_RESET_REQUEST_IN0">
  13634. <type>int</type>
  13635. <value>0</value>
  13636. <derived>false</derived>
  13637. <enabled>true</enabled>
  13638. <visible>false</visible>
  13639. <valid>true</valid>
  13640. </parameter>
  13641. <parameter name="USE_RESET_REQUEST_IN1">
  13642. <type>int</type>
  13643. <value>0</value>
  13644. <derived>false</derived>
  13645. <enabled>true</enabled>
  13646. <visible>false</visible>
  13647. <valid>true</valid>
  13648. </parameter>
  13649. <parameter name="USE_RESET_REQUEST_IN2">
  13650. <type>int</type>
  13651. <value>0</value>
  13652. <derived>false</derived>
  13653. <enabled>true</enabled>
  13654. <visible>false</visible>
  13655. <valid>true</valid>
  13656. </parameter>
  13657. <parameter name="USE_RESET_REQUEST_IN3">
  13658. <type>int</type>
  13659. <value>0</value>
  13660. <derived>false</derived>
  13661. <enabled>true</enabled>
  13662. <visible>false</visible>
  13663. <valid>true</valid>
  13664. </parameter>
  13665. <parameter name="USE_RESET_REQUEST_IN4">
  13666. <type>int</type>
  13667. <value>0</value>
  13668. <derived>false</derived>
  13669. <enabled>true</enabled>
  13670. <visible>false</visible>
  13671. <valid>true</valid>
  13672. </parameter>
  13673. <parameter name="USE_RESET_REQUEST_IN5">
  13674. <type>int</type>
  13675. <value>0</value>
  13676. <derived>false</derived>
  13677. <enabled>true</enabled>
  13678. <visible>false</visible>
  13679. <valid>true</valid>
  13680. </parameter>
  13681. <parameter name="USE_RESET_REQUEST_IN6">
  13682. <type>int</type>
  13683. <value>0</value>
  13684. <derived>false</derived>
  13685. <enabled>true</enabled>
  13686. <visible>false</visible>
  13687. <valid>true</valid>
  13688. </parameter>
  13689. <parameter name="USE_RESET_REQUEST_IN7">
  13690. <type>int</type>
  13691. <value>0</value>
  13692. <derived>false</derived>
  13693. <enabled>true</enabled>
  13694. <visible>false</visible>
  13695. <valid>true</valid>
  13696. </parameter>
  13697. <parameter name="USE_RESET_REQUEST_IN8">
  13698. <type>int</type>
  13699. <value>0</value>
  13700. <derived>false</derived>
  13701. <enabled>true</enabled>
  13702. <visible>false</visible>
  13703. <valid>true</valid>
  13704. </parameter>
  13705. <parameter name="USE_RESET_REQUEST_IN9">
  13706. <type>int</type>
  13707. <value>0</value>
  13708. <derived>false</derived>
  13709. <enabled>true</enabled>
  13710. <visible>false</visible>
  13711. <valid>true</valid>
  13712. </parameter>
  13713. <parameter name="USE_RESET_REQUEST_IN10">
  13714. <type>int</type>
  13715. <value>0</value>
  13716. <derived>false</derived>
  13717. <enabled>true</enabled>
  13718. <visible>false</visible>
  13719. <valid>true</valid>
  13720. </parameter>
  13721. <parameter name="USE_RESET_REQUEST_IN11">
  13722. <type>int</type>
  13723. <value>0</value>
  13724. <derived>false</derived>
  13725. <enabled>true</enabled>
  13726. <visible>false</visible>
  13727. <valid>true</valid>
  13728. </parameter>
  13729. <parameter name="USE_RESET_REQUEST_IN12">
  13730. <type>int</type>
  13731. <value>0</value>
  13732. <derived>false</derived>
  13733. <enabled>true</enabled>
  13734. <visible>false</visible>
  13735. <valid>true</valid>
  13736. </parameter>
  13737. <parameter name="USE_RESET_REQUEST_IN13">
  13738. <type>int</type>
  13739. <value>0</value>
  13740. <derived>false</derived>
  13741. <enabled>true</enabled>
  13742. <visible>false</visible>
  13743. <valid>true</valid>
  13744. </parameter>
  13745. <parameter name="USE_RESET_REQUEST_IN14">
  13746. <type>int</type>
  13747. <value>0</value>
  13748. <derived>false</derived>
  13749. <enabled>true</enabled>
  13750. <visible>false</visible>
  13751. <valid>true</valid>
  13752. </parameter>
  13753. <parameter name="USE_RESET_REQUEST_IN15">
  13754. <type>int</type>
  13755. <value>0</value>
  13756. <derived>false</derived>
  13757. <enabled>true</enabled>
  13758. <visible>false</visible>
  13759. <valid>true</valid>
  13760. </parameter>
  13761. <parameter name="USE_RESET_REQUEST_INPUT">
  13762. <type>int</type>
  13763. <value>0</value>
  13764. <derived>false</derived>
  13765. <enabled>true</enabled>
  13766. <visible>true</visible>
  13767. <valid>true</valid>
  13768. </parameter>
  13769. <parameter name="ADAPT_RESET_REQUEST">
  13770. <type>int</type>
  13771. <value>0</value>
  13772. <derived>true</derived>
  13773. <enabled>true</enabled>
  13774. <visible>false</visible>
  13775. <valid>true</valid>
  13776. </parameter>
  13777. <parameter name="deviceFamily">
  13778. <type>java.lang.String</type>
  13779. <value>UNKNOWN</value>
  13780. <derived>false</derived>
  13781. <enabled>true</enabled>
  13782. <visible>true</visible>
  13783. <valid>true</valid>
  13784. </parameter>
  13785. <parameter name="generateLegacySim">
  13786. <type>boolean</type>
  13787. <value>false</value>
  13788. <derived>false</derived>
  13789. <enabled>true</enabled>
  13790. <visible>true</visible>
  13791. <valid>true</valid>
  13792. </parameter>
  13793. <interface name="reset_in0" kind="reset_sink" version="18.1">
  13794. <!-- The connection points exposed by a module instance for the
  13795. particular module parameters. Connection points and their
  13796. parameters are a RESULT of the module parameters. -->
  13797. <parameter name="associatedClock">
  13798. <type>java.lang.String</type>
  13799. <value></value>
  13800. <derived>false</derived>
  13801. <enabled>true</enabled>
  13802. <visible>true</visible>
  13803. <valid>true</valid>
  13804. </parameter>
  13805. <parameter name="synchronousEdges">
  13806. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  13807. <value>NONE</value>
  13808. <derived>false</derived>
  13809. <enabled>true</enabled>
  13810. <visible>true</visible>
  13811. <valid>true</valid>
  13812. </parameter>
  13813. <parameter name="deviceFamily">
  13814. <type>java.lang.String</type>
  13815. <value>UNKNOWN</value>
  13816. <derived>false</derived>
  13817. <enabled>true</enabled>
  13818. <visible>true</visible>
  13819. <valid>true</valid>
  13820. </parameter>
  13821. <parameter name="generateLegacySim">
  13822. <type>boolean</type>
  13823. <value>false</value>
  13824. <derived>false</derived>
  13825. <enabled>true</enabled>
  13826. <visible>true</visible>
  13827. <valid>true</valid>
  13828. </parameter>
  13829. <type>reset</type>
  13830. <isStart>false</isStart>
  13831. <port>
  13832. <name>reset_in0</name>
  13833. <direction>Input</direction>
  13834. <width>1</width>
  13835. <role>reset</role>
  13836. </port>
  13837. </interface>
  13838. <interface name="reset_in1" kind="reset_sink" version="18.1">
  13839. <!-- The connection points exposed by a module instance for the
  13840. particular module parameters. Connection points and their
  13841. parameters are a RESULT of the module parameters. -->
  13842. <parameter name="associatedClock">
  13843. <type>java.lang.String</type>
  13844. <value></value>
  13845. <derived>false</derived>
  13846. <enabled>true</enabled>
  13847. <visible>true</visible>
  13848. <valid>true</valid>
  13849. </parameter>
  13850. <parameter name="synchronousEdges">
  13851. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  13852. <value>NONE</value>
  13853. <derived>false</derived>
  13854. <enabled>true</enabled>
  13855. <visible>true</visible>
  13856. <valid>true</valid>
  13857. </parameter>
  13858. <parameter name="deviceFamily">
  13859. <type>java.lang.String</type>
  13860. <value>UNKNOWN</value>
  13861. <derived>false</derived>
  13862. <enabled>true</enabled>
  13863. <visible>true</visible>
  13864. <valid>true</valid>
  13865. </parameter>
  13866. <parameter name="generateLegacySim">
  13867. <type>boolean</type>
  13868. <value>false</value>
  13869. <derived>false</derived>
  13870. <enabled>true</enabled>
  13871. <visible>true</visible>
  13872. <valid>true</valid>
  13873. </parameter>
  13874. <type>reset</type>
  13875. <isStart>false</isStart>
  13876. <port>
  13877. <name>reset_in1</name>
  13878. <direction>Input</direction>
  13879. <width>1</width>
  13880. <role>reset</role>
  13881. </port>
  13882. </interface>
  13883. <interface name="clk" kind="clock_sink" version="18.1">
  13884. <!-- The connection points exposed by a module instance for the
  13885. particular module parameters. Connection points and their
  13886. parameters are a RESULT of the module parameters. -->
  13887. <parameter name="externallyDriven">
  13888. <type>boolean</type>
  13889. <value>false</value>
  13890. <derived>false</derived>
  13891. <enabled>true</enabled>
  13892. <visible>false</visible>
  13893. <valid>true</valid>
  13894. </parameter>
  13895. <parameter name="ptfSchematicName">
  13896. <type>java.lang.String</type>
  13897. <value></value>
  13898. <derived>false</derived>
  13899. <enabled>true</enabled>
  13900. <visible>false</visible>
  13901. <valid>true</valid>
  13902. </parameter>
  13903. <parameter name="deviceFamily">
  13904. <type>java.lang.String</type>
  13905. <value>UNKNOWN</value>
  13906. <derived>false</derived>
  13907. <enabled>true</enabled>
  13908. <visible>true</visible>
  13909. <valid>true</valid>
  13910. </parameter>
  13911. <parameter name="generateLegacySim">
  13912. <type>boolean</type>
  13913. <value>false</value>
  13914. <derived>false</derived>
  13915. <enabled>true</enabled>
  13916. <visible>true</visible>
  13917. <valid>true</valid>
  13918. </parameter>
  13919. <type>clock</type>
  13920. <isStart>false</isStart>
  13921. <port>
  13922. <name>clk</name>
  13923. <direction>Input</direction>
  13924. <width>1</width>
  13925. <role>clk</role>
  13926. </port>
  13927. </interface>
  13928. <interface name="reset_out" kind="reset_source" version="18.1">
  13929. <!-- The connection points exposed by a module instance for the
  13930. particular module parameters. Connection points and their
  13931. parameters are a RESULT of the module parameters. -->
  13932. <parameter name="associatedClock">
  13933. <type>java.lang.String</type>
  13934. <value>clk</value>
  13935. <derived>false</derived>
  13936. <enabled>true</enabled>
  13937. <visible>true</visible>
  13938. <valid>true</valid>
  13939. </parameter>
  13940. <parameter name="associatedDirectReset">
  13941. <type>java.lang.String</type>
  13942. <value></value>
  13943. <derived>false</derived>
  13944. <enabled>true</enabled>
  13945. <visible>true</visible>
  13946. <valid>true</valid>
  13947. </parameter>
  13948. <parameter name="associatedResetSinks">
  13949. <type>[Ljava.lang.String;</type>
  13950. <value>reset_in0,reset_in1</value>
  13951. <derived>false</derived>
  13952. <enabled>true</enabled>
  13953. <visible>true</visible>
  13954. <valid>true</valid>
  13955. </parameter>
  13956. <parameter name="synchronousEdges">
  13957. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  13958. <value>DEASSERT</value>
  13959. <derived>false</derived>
  13960. <enabled>true</enabled>
  13961. <visible>true</visible>
  13962. <valid>true</valid>
  13963. </parameter>
  13964. <parameter name="deviceFamily">
  13965. <type>java.lang.String</type>
  13966. <value>UNKNOWN</value>
  13967. <derived>false</derived>
  13968. <enabled>true</enabled>
  13969. <visible>true</visible>
  13970. <valid>true</valid>
  13971. </parameter>
  13972. <parameter name="generateLegacySim">
  13973. <type>boolean</type>
  13974. <value>false</value>
  13975. <derived>false</derived>
  13976. <enabled>true</enabled>
  13977. <visible>true</visible>
  13978. <valid>true</valid>
  13979. </parameter>
  13980. <type>reset</type>
  13981. <isStart>true</isStart>
  13982. <port>
  13983. <name>reset_out</name>
  13984. <direction>Output</direction>
  13985. <width>1</width>
  13986. <role>reset</role>
  13987. </port>
  13988. <port>
  13989. <name>reset_req</name>
  13990. <direction>Output</direction>
  13991. <width>1</width>
  13992. <role>reset_req</role>
  13993. </port>
  13994. </interface>
  13995. </module>
  13996. <module
  13997. name="rst_translator"
  13998. kind="altera_reset_translator"
  13999. version="18.1"
  14000. path="rst_translator">
  14001. <!-- Describes a single module. Module parameters are
  14002. the requested settings for a module instance. -->
  14003. <parameter name="ACTIVE_LOW_RESET">
  14004. <type>int</type>
  14005. <value>0</value>
  14006. <derived>false</derived>
  14007. <enabled>true</enabled>
  14008. <visible>true</visible>
  14009. <valid>true</valid>
  14010. </parameter>
  14011. <parameter name="SYNCHRONOUS_EDGES">
  14012. <type>java.lang.String</type>
  14013. <value>deassert</value>
  14014. <derived>false</derived>
  14015. <enabled>true</enabled>
  14016. <visible>true</visible>
  14017. <valid>true</valid>
  14018. </parameter>
  14019. <parameter name="RESET_REQUEST_INPUT_ENABLE">
  14020. <type>int</type>
  14021. <value>1</value>
  14022. <derived>false</derived>
  14023. <enabled>true</enabled>
  14024. <visible>true</visible>
  14025. <valid>true</valid>
  14026. </parameter>
  14027. <parameter name="deviceFamily">
  14028. <type>java.lang.String</type>
  14029. <value>UNKNOWN</value>
  14030. <derived>false</derived>
  14031. <enabled>true</enabled>
  14032. <visible>true</visible>
  14033. <valid>true</valid>
  14034. </parameter>
  14035. <parameter name="generateLegacySim">
  14036. <type>boolean</type>
  14037. <value>false</value>
  14038. <derived>false</derived>
  14039. <enabled>true</enabled>
  14040. <visible>true</visible>
  14041. <valid>true</valid>
  14042. </parameter>
  14043. <interface name="clk" kind="clock_sink" version="18.1">
  14044. <!-- The connection points exposed by a module instance for the
  14045. particular module parameters. Connection points and their
  14046. parameters are a RESULT of the module parameters. -->
  14047. <parameter name="externallyDriven">
  14048. <type>boolean</type>
  14049. <value>false</value>
  14050. <derived>false</derived>
  14051. <enabled>true</enabled>
  14052. <visible>false</visible>
  14053. <valid>true</valid>
  14054. </parameter>
  14055. <parameter name="ptfSchematicName">
  14056. <type>java.lang.String</type>
  14057. <value></value>
  14058. <derived>false</derived>
  14059. <enabled>true</enabled>
  14060. <visible>false</visible>
  14061. <valid>true</valid>
  14062. </parameter>
  14063. <parameter name="deviceFamily">
  14064. <type>java.lang.String</type>
  14065. <value>UNKNOWN</value>
  14066. <derived>false</derived>
  14067. <enabled>true</enabled>
  14068. <visible>true</visible>
  14069. <valid>true</valid>
  14070. </parameter>
  14071. <parameter name="generateLegacySim">
  14072. <type>boolean</type>
  14073. <value>false</value>
  14074. <derived>false</derived>
  14075. <enabled>true</enabled>
  14076. <visible>true</visible>
  14077. <valid>true</valid>
  14078. </parameter>
  14079. <type>clock</type>
  14080. <isStart>false</isStart>
  14081. <port>
  14082. <name>clk</name>
  14083. <direction>Input</direction>
  14084. <width>1</width>
  14085. <role>clk</role>
  14086. </port>
  14087. </interface>
  14088. <interface name="in_reset" kind="reset_sink" version="18.1">
  14089. <!-- The connection points exposed by a module instance for the
  14090. particular module parameters. Connection points and their
  14091. parameters are a RESULT of the module parameters. -->
  14092. <parameter name="associatedClock">
  14093. <type>java.lang.String</type>
  14094. <value>clk</value>
  14095. <derived>false</derived>
  14096. <enabled>true</enabled>
  14097. <visible>true</visible>
  14098. <valid>true</valid>
  14099. </parameter>
  14100. <parameter name="synchronousEdges">
  14101. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  14102. <value>DEASSERT</value>
  14103. <derived>false</derived>
  14104. <enabled>true</enabled>
  14105. <visible>true</visible>
  14106. <valid>true</valid>
  14107. </parameter>
  14108. <parameter name="deviceFamily">
  14109. <type>java.lang.String</type>
  14110. <value>UNKNOWN</value>
  14111. <derived>false</derived>
  14112. <enabled>true</enabled>
  14113. <visible>true</visible>
  14114. <valid>true</valid>
  14115. </parameter>
  14116. <parameter name="generateLegacySim">
  14117. <type>boolean</type>
  14118. <value>false</value>
  14119. <derived>false</derived>
  14120. <enabled>true</enabled>
  14121. <visible>true</visible>
  14122. <valid>true</valid>
  14123. </parameter>
  14124. <type>reset</type>
  14125. <isStart>false</isStart>
  14126. <port>
  14127. <name>in_reset</name>
  14128. <direction>Input</direction>
  14129. <width>1</width>
  14130. <role>reset</role>
  14131. </port>
  14132. <port>
  14133. <name>reset_req_in</name>
  14134. <direction>Input</direction>
  14135. <width>1</width>
  14136. <role>reset_req</role>
  14137. </port>
  14138. </interface>
  14139. <interface name="out_reset" kind="reset_source" version="18.1">
  14140. <!-- The connection points exposed by a module instance for the
  14141. particular module parameters. Connection points and their
  14142. parameters are a RESULT of the module parameters. -->
  14143. <parameter name="associatedClock">
  14144. <type>java.lang.String</type>
  14145. <value>clk</value>
  14146. <derived>false</derived>
  14147. <enabled>true</enabled>
  14148. <visible>true</visible>
  14149. <valid>true</valid>
  14150. </parameter>
  14151. <parameter name="associatedDirectReset">
  14152. <type>java.lang.String</type>
  14153. <value>in_reset</value>
  14154. <derived>false</derived>
  14155. <enabled>true</enabled>
  14156. <visible>true</visible>
  14157. <valid>true</valid>
  14158. </parameter>
  14159. <parameter name="associatedResetSinks">
  14160. <type>[Ljava.lang.String;</type>
  14161. <value>in_reset</value>
  14162. <derived>false</derived>
  14163. <enabled>true</enabled>
  14164. <visible>true</visible>
  14165. <valid>true</valid>
  14166. </parameter>
  14167. <parameter name="synchronousEdges">
  14168. <type>com.altera.sopcmodel.reset.Reset$Edges</type>
  14169. <value>DEASSERT</value>
  14170. <derived>false</derived>
  14171. <enabled>true</enabled>
  14172. <visible>true</visible>
  14173. <valid>true</valid>
  14174. </parameter>
  14175. <parameter name="deviceFamily">
  14176. <type>java.lang.String</type>
  14177. <value>UNKNOWN</value>
  14178. <derived>false</derived>
  14179. <enabled>true</enabled>
  14180. <visible>true</visible>
  14181. <valid>true</valid>
  14182. </parameter>
  14183. <parameter name="generateLegacySim">
  14184. <type>boolean</type>
  14185. <value>false</value>
  14186. <derived>false</derived>
  14187. <enabled>true</enabled>
  14188. <visible>true</visible>
  14189. <valid>true</valid>
  14190. </parameter>
  14191. <type>reset</type>
  14192. <isStart>true</isStart>
  14193. <port>
  14194. <name>out_reset</name>
  14195. <direction>Output</direction>
  14196. <width>1</width>
  14197. <role>reset</role>
  14198. </port>
  14199. </interface>
  14200. </module>
  14201. <connection
  14202. name="clk_50.clk/nios2.clk"
  14203. kind="clock"
  14204. version="18.1"
  14205. start="clk_50.clk"
  14206. end="nios2.clk">
  14207. <parameter name="deviceFamily">
  14208. <type>java.lang.String</type>
  14209. <value>UNKNOWN</value>
  14210. <derived>false</derived>
  14211. <enabled>true</enabled>
  14212. <visible>true</visible>
  14213. <valid>true</valid>
  14214. </parameter>
  14215. <parameter name="generateLegacySim">
  14216. <type>boolean</type>
  14217. <value>false</value>
  14218. <derived>false</derived>
  14219. <enabled>true</enabled>
  14220. <visible>true</visible>
  14221. <valid>true</valid>
  14222. </parameter>
  14223. <startModule>clk_50</startModule>
  14224. <startConnectionPoint>clk</startConnectionPoint>
  14225. <endModule>nios2</endModule>
  14226. <endConnectionPoint>clk</endConnectionPoint>
  14227. </connection>
  14228. <connection
  14229. name="clk_50.clk/pio_LED.clk"
  14230. kind="clock"
  14231. version="18.1"
  14232. start="clk_50.clk"
  14233. end="pio_LED.clk">
  14234. <parameter name="deviceFamily">
  14235. <type>java.lang.String</type>
  14236. <value>UNKNOWN</value>
  14237. <derived>false</derived>
  14238. <enabled>true</enabled>
  14239. <visible>true</visible>
  14240. <valid>true</valid>
  14241. </parameter>
  14242. <parameter name="generateLegacySim">
  14243. <type>boolean</type>
  14244. <value>false</value>
  14245. <derived>false</derived>
  14246. <enabled>true</enabled>
  14247. <visible>true</visible>
  14248. <valid>true</valid>
  14249. </parameter>
  14250. <startModule>clk_50</startModule>
  14251. <startConnectionPoint>clk</startConnectionPoint>
  14252. <endModule>pio_LED</endModule>
  14253. <endConnectionPoint>clk</endConnectionPoint>
  14254. </connection>
  14255. <connection
  14256. name="clk_50.clk/jtag_uart.clk"
  14257. kind="clock"
  14258. version="18.1"
  14259. start="clk_50.clk"
  14260. end="jtag_uart.clk">
  14261. <parameter name="deviceFamily">
  14262. <type>java.lang.String</type>
  14263. <value>UNKNOWN</value>
  14264. <derived>false</derived>
  14265. <enabled>true</enabled>
  14266. <visible>true</visible>
  14267. <valid>true</valid>
  14268. </parameter>
  14269. <parameter name="generateLegacySim">
  14270. <type>boolean</type>
  14271. <value>false</value>
  14272. <derived>false</derived>
  14273. <enabled>true</enabled>
  14274. <visible>true</visible>
  14275. <valid>true</valid>
  14276. </parameter>
  14277. <startModule>clk_50</startModule>
  14278. <startConnectionPoint>clk</startConnectionPoint>
  14279. <endModule>jtag_uart</endModule>
  14280. <endConnectionPoint>clk</endConnectionPoint>
  14281. </connection>
  14282. <connection
  14283. name="clk_50.clk/pio_MATRIX.clk"
  14284. kind="clock"
  14285. version="18.1"
  14286. start="clk_50.clk"
  14287. end="pio_MATRIX.clk">
  14288. <parameter name="deviceFamily">
  14289. <type>java.lang.String</type>
  14290. <value>UNKNOWN</value>
  14291. <derived>false</derived>
  14292. <enabled>true</enabled>
  14293. <visible>true</visible>
  14294. <valid>true</valid>
  14295. </parameter>
  14296. <parameter name="generateLegacySim">
  14297. <type>boolean</type>
  14298. <value>false</value>
  14299. <derived>false</derived>
  14300. <enabled>true</enabled>
  14301. <visible>true</visible>
  14302. <valid>true</valid>
  14303. </parameter>
  14304. <startModule>clk_50</startModule>
  14305. <startConnectionPoint>clk</startConnectionPoint>
  14306. <endModule>pio_MATRIX</endModule>
  14307. <endConnectionPoint>clk</endConnectionPoint>
  14308. </connection>
  14309. <connection
  14310. name="clk_50.clk/lcd_16207.clk"
  14311. kind="clock"
  14312. version="18.1"
  14313. start="clk_50.clk"
  14314. end="lcd_16207.clk">
  14315. <parameter name="deviceFamily">
  14316. <type>java.lang.String</type>
  14317. <value>UNKNOWN</value>
  14318. <derived>false</derived>
  14319. <enabled>true</enabled>
  14320. <visible>true</visible>
  14321. <valid>true</valid>
  14322. </parameter>
  14323. <parameter name="generateLegacySim">
  14324. <type>boolean</type>
  14325. <value>false</value>
  14326. <derived>false</derived>
  14327. <enabled>true</enabled>
  14328. <visible>true</visible>
  14329. <valid>true</valid>
  14330. </parameter>
  14331. <startModule>clk_50</startModule>
  14332. <startConnectionPoint>clk</startConnectionPoint>
  14333. <endModule>lcd_16207</endModule>
  14334. <endConnectionPoint>clk</endConnectionPoint>
  14335. </connection>
  14336. <connection
  14337. name="clk_50.clk/pio_BUTTON.clk"
  14338. kind="clock"
  14339. version="18.1"
  14340. start="clk_50.clk"
  14341. end="pio_BUTTON.clk">
  14342. <parameter name="deviceFamily">
  14343. <type>java.lang.String</type>
  14344. <value>UNKNOWN</value>
  14345. <derived>false</derived>
  14346. <enabled>true</enabled>
  14347. <visible>true</visible>
  14348. <valid>true</valid>
  14349. </parameter>
  14350. <parameter name="generateLegacySim">
  14351. <type>boolean</type>
  14352. <value>false</value>
  14353. <derived>false</derived>
  14354. <enabled>true</enabled>
  14355. <visible>true</visible>
  14356. <valid>true</valid>
  14357. </parameter>
  14358. <startModule>clk_50</startModule>
  14359. <startConnectionPoint>clk</startConnectionPoint>
  14360. <endModule>pio_BUTTON</endModule>
  14361. <endConnectionPoint>clk</endConnectionPoint>
  14362. </connection>
  14363. <connection
  14364. name="clk_50.clk/onchip_memory2.clk1"
  14365. kind="clock"
  14366. version="18.1"
  14367. start="clk_50.clk"
  14368. end="onchip_memory2.clk1">
  14369. <parameter name="deviceFamily">
  14370. <type>java.lang.String</type>
  14371. <value>UNKNOWN</value>
  14372. <derived>false</derived>
  14373. <enabled>true</enabled>
  14374. <visible>true</visible>
  14375. <valid>true</valid>
  14376. </parameter>
  14377. <parameter name="generateLegacySim">
  14378. <type>boolean</type>
  14379. <value>false</value>
  14380. <derived>false</derived>
  14381. <enabled>true</enabled>
  14382. <visible>true</visible>
  14383. <valid>true</valid>
  14384. </parameter>
  14385. <startModule>clk_50</startModule>
  14386. <startConnectionPoint>clk</startConnectionPoint>
  14387. <endModule>onchip_memory2</endModule>
  14388. <endConnectionPoint>clk1</endConnectionPoint>
  14389. </connection>
  14390. <connection
  14391. name="nios2.custom_instruction_master/nios2_custom_instruction_master_translator.ci_slave"
  14392. kind="nios_custom_instruction"
  14393. version="18.1"
  14394. start="nios2.custom_instruction_master"
  14395. end="nios2_custom_instruction_master_translator.ci_slave">
  14396. <parameter name="CIName">
  14397. <type>java.lang.String</type>
  14398. <value></value>
  14399. <derived>false</derived>
  14400. <enabled>true</enabled>
  14401. <visible>true</visible>
  14402. <valid>true</valid>
  14403. </parameter>
  14404. <parameter name="CINameUpgrade">
  14405. <type>java.lang.String</type>
  14406. <value></value>
  14407. <derived>true</derived>
  14408. <enabled>true</enabled>
  14409. <visible>true</visible>
  14410. <valid>true</valid>
  14411. </parameter>
  14412. <parameter name="arbitrationPriority">
  14413. <type>int</type>
  14414. <value>1</value>
  14415. <derived>false</derived>
  14416. <enabled>true</enabled>
  14417. <visible>false</visible>
  14418. <valid>true</valid>
  14419. </parameter>
  14420. <parameter name="baseAddress">
  14421. <type>long</type>
  14422. <value>0</value>
  14423. <derived>false</derived>
  14424. <enabled>true</enabled>
  14425. <visible>true</visible>
  14426. <valid>true</valid>
  14427. </parameter>
  14428. <parameter name="opcodeExtensionUpgrade">
  14429. <type>int</type>
  14430. <value>-1</value>
  14431. <derived>true</derived>
  14432. <enabled>true</enabled>
  14433. <visible>true</visible>
  14434. <valid>true</valid>
  14435. </parameter>
  14436. <parameter name="deviceFamily">
  14437. <type>java.lang.String</type>
  14438. <value>UNKNOWN</value>
  14439. <derived>false</derived>
  14440. <enabled>true</enabled>
  14441. <visible>true</visible>
  14442. <valid>true</valid>
  14443. </parameter>
  14444. <parameter name="generateLegacySim">
  14445. <type>boolean</type>
  14446. <value>false</value>
  14447. <derived>false</derived>
  14448. <enabled>true</enabled>
  14449. <visible>true</visible>
  14450. <valid>true</valid>
  14451. </parameter>
  14452. <startModule>nios2</startModule>
  14453. <startConnectionPoint>custom_instruction_master</startConnectionPoint>
  14454. <endModule>nios2_custom_instruction_master_translator</endModule>
  14455. <endConnectionPoint>ci_slave</endConnectionPoint>
  14456. </connection>
  14457. <connection
  14458. name="nios2_custom_instruction_master_translator.multi_ci_master/nios2_custom_instruction_master_multi_xconnect.ci_slave"
  14459. kind="nios_custom_instruction"
  14460. version="18.1"
  14461. start="nios2_custom_instruction_master_translator.multi_ci_master"
  14462. end="nios2_custom_instruction_master_multi_xconnect.ci_slave">
  14463. <parameter name="CIName">
  14464. <type>java.lang.String</type>
  14465. <value></value>
  14466. <derived>false</derived>
  14467. <enabled>true</enabled>
  14468. <visible>true</visible>
  14469. <valid>true</valid>
  14470. </parameter>
  14471. <parameter name="CINameUpgrade">
  14472. <type>java.lang.String</type>
  14473. <value></value>
  14474. <derived>true</derived>
  14475. <enabled>true</enabled>
  14476. <visible>true</visible>
  14477. <valid>true</valid>
  14478. </parameter>
  14479. <parameter name="arbitrationPriority">
  14480. <type>int</type>
  14481. <value>1</value>
  14482. <derived>false</derived>
  14483. <enabled>true</enabled>
  14484. <visible>false</visible>
  14485. <valid>true</valid>
  14486. </parameter>
  14487. <parameter name="baseAddress">
  14488. <type>long</type>
  14489. <value>0</value>
  14490. <derived>false</derived>
  14491. <enabled>true</enabled>
  14492. <visible>true</visible>
  14493. <valid>true</valid>
  14494. </parameter>
  14495. <parameter name="opcodeExtensionUpgrade">
  14496. <type>int</type>
  14497. <value>-1</value>
  14498. <derived>true</derived>
  14499. <enabled>true</enabled>
  14500. <visible>true</visible>
  14501. <valid>true</valid>
  14502. </parameter>
  14503. <parameter name="deviceFamily">
  14504. <type>java.lang.String</type>
  14505. <value>UNKNOWN</value>
  14506. <derived>false</derived>
  14507. <enabled>true</enabled>
  14508. <visible>true</visible>
  14509. <valid>true</valid>
  14510. </parameter>
  14511. <parameter name="generateLegacySim">
  14512. <type>boolean</type>
  14513. <value>false</value>
  14514. <derived>false</derived>
  14515. <enabled>true</enabled>
  14516. <visible>true</visible>
  14517. <valid>true</valid>
  14518. </parameter>
  14519. <startModule>nios2_custom_instruction_master_translator</startModule>
  14520. <startConnectionPoint>multi_ci_master</startConnectionPoint>
  14521. <endModule>nios2_custom_instruction_master_multi_xconnect</endModule>
  14522. <endConnectionPoint>ci_slave</endConnectionPoint>
  14523. </connection>
  14524. <connection
  14525. name="nios2_custom_instruction_master_multi_xconnect.ci_master0/nios2_custom_instruction_master_multi_slave_translator0.ci_slave"
  14526. kind="nios_custom_instruction"
  14527. version="18.1"
  14528. start="nios2_custom_instruction_master_multi_xconnect.ci_master0"
  14529. end="nios2_custom_instruction_master_multi_slave_translator0.ci_slave">
  14530. <parameter name="CIName">
  14531. <type>java.lang.String</type>
  14532. <value></value>
  14533. <derived>false</derived>
  14534. <enabled>true</enabled>
  14535. <visible>true</visible>
  14536. <valid>true</valid>
  14537. </parameter>
  14538. <parameter name="CINameUpgrade">
  14539. <type>java.lang.String</type>
  14540. <value></value>
  14541. <derived>true</derived>
  14542. <enabled>true</enabled>
  14543. <visible>true</visible>
  14544. <valid>true</valid>
  14545. </parameter>
  14546. <parameter name="arbitrationPriority">
  14547. <type>int</type>
  14548. <value>1</value>
  14549. <derived>false</derived>
  14550. <enabled>true</enabled>
  14551. <visible>false</visible>
  14552. <valid>true</valid>
  14553. </parameter>
  14554. <parameter name="baseAddress">
  14555. <type>long</type>
  14556. <value>0</value>
  14557. <derived>false</derived>
  14558. <enabled>true</enabled>
  14559. <visible>true</visible>
  14560. <valid>true</valid>
  14561. </parameter>
  14562. <parameter name="opcodeExtensionUpgrade">
  14563. <type>int</type>
  14564. <value>-1</value>
  14565. <derived>true</derived>
  14566. <enabled>true</enabled>
  14567. <visible>true</visible>
  14568. <valid>true</valid>
  14569. </parameter>
  14570. <parameter name="deviceFamily">
  14571. <type>java.lang.String</type>
  14572. <value>UNKNOWN</value>
  14573. <derived>false</derived>
  14574. <enabled>true</enabled>
  14575. <visible>true</visible>
  14576. <valid>true</valid>
  14577. </parameter>
  14578. <parameter name="generateLegacySim">
  14579. <type>boolean</type>
  14580. <value>false</value>
  14581. <derived>false</derived>
  14582. <enabled>true</enabled>
  14583. <visible>true</visible>
  14584. <valid>true</valid>
  14585. </parameter>
  14586. <startModule>nios2_custom_instruction_master_multi_xconnect</startModule>
  14587. <startConnectionPoint>ci_master0</startConnectionPoint>
  14588. <endModule>nios2_custom_instruction_master_multi_slave_translator0</endModule>
  14589. <endConnectionPoint>ci_slave</endConnectionPoint>
  14590. </connection>
  14591. <connection
  14592. name="nios2_custom_instruction_master_multi_slave_translator0.ci_master/nios_custom_instr_floating_point_0.s1"
  14593. kind="nios_custom_instruction"
  14594. version="18.1"
  14595. start="nios2_custom_instruction_master_multi_slave_translator0.ci_master"
  14596. end="nios_custom_instr_floating_point_0.s1">
  14597. <parameter name="CIName">
  14598. <type>java.lang.String</type>
  14599. <value></value>
  14600. <derived>false</derived>
  14601. <enabled>true</enabled>
  14602. <visible>true</visible>
  14603. <valid>true</valid>
  14604. </parameter>
  14605. <parameter name="CINameUpgrade">
  14606. <type>java.lang.String</type>
  14607. <value></value>
  14608. <derived>true</derived>
  14609. <enabled>true</enabled>
  14610. <visible>true</visible>
  14611. <valid>true</valid>
  14612. </parameter>
  14613. <parameter name="arbitrationPriority">
  14614. <type>int</type>
  14615. <value>1</value>
  14616. <derived>false</derived>
  14617. <enabled>true</enabled>
  14618. <visible>false</visible>
  14619. <valid>true</valid>
  14620. </parameter>
  14621. <parameter name="baseAddress">
  14622. <type>long</type>
  14623. <value>0</value>
  14624. <derived>false</derived>
  14625. <enabled>true</enabled>
  14626. <visible>true</visible>
  14627. <valid>true</valid>
  14628. </parameter>
  14629. <parameter name="opcodeExtensionUpgrade">
  14630. <type>int</type>
  14631. <value>-1</value>
  14632. <derived>true</derived>
  14633. <enabled>true</enabled>
  14634. <visible>true</visible>
  14635. <valid>true</valid>
  14636. </parameter>
  14637. <parameter name="deviceFamily">
  14638. <type>java.lang.String</type>
  14639. <value>UNKNOWN</value>
  14640. <derived>false</derived>
  14641. <enabled>true</enabled>
  14642. <visible>true</visible>
  14643. <valid>true</valid>
  14644. </parameter>
  14645. <parameter name="generateLegacySim">
  14646. <type>boolean</type>
  14647. <value>false</value>
  14648. <derived>false</derived>
  14649. <enabled>true</enabled>
  14650. <visible>true</visible>
  14651. <valid>true</valid>
  14652. </parameter>
  14653. <startModule>nios2_custom_instruction_master_multi_slave_translator0</startModule>
  14654. <startConnectionPoint>ci_master</startConnectionPoint>
  14655. <endModule>nios_custom_instr_floating_point_0</endModule>
  14656. <endConnectionPoint>s1</endConnectionPoint>
  14657. </connection>
  14658. <connection
  14659. name="nios2.data_master/mm_interconnect_0.nios2_data_master"
  14660. kind="avalon"
  14661. version="18.1"
  14662. start="nios2.data_master"
  14663. end="mm_interconnect_0.nios2_data_master">
  14664. <parameter name="arbitrationPriority">
  14665. <type>int</type>
  14666. <value>1</value>
  14667. <derived>false</derived>
  14668. <enabled>true</enabled>
  14669. <visible>true</visible>
  14670. <valid>true</valid>
  14671. </parameter>
  14672. <parameter name="baseAddress">
  14673. <type>java.math.BigInteger</type>
  14674. <value>0x0000</value>
  14675. <derived>false</derived>
  14676. <enabled>true</enabled>
  14677. <visible>true</visible>
  14678. <valid>true</valid>
  14679. </parameter>
  14680. <parameter name="defaultConnection">
  14681. <type>boolean</type>
  14682. <value>false</value>
  14683. <derived>false</derived>
  14684. <enabled>true</enabled>
  14685. <visible>true</visible>
  14686. <valid>true</valid>
  14687. </parameter>
  14688. <parameter name="deviceFamily">
  14689. <type>java.lang.String</type>
  14690. <value>UNKNOWN</value>
  14691. <derived>false</derived>
  14692. <enabled>true</enabled>
  14693. <visible>true</visible>
  14694. <valid>true</valid>
  14695. </parameter>
  14696. <parameter name="generateLegacySim">
  14697. <type>boolean</type>
  14698. <value>false</value>
  14699. <derived>false</derived>
  14700. <enabled>true</enabled>
  14701. <visible>true</visible>
  14702. <valid>true</valid>
  14703. </parameter>
  14704. <startModule>nios2</startModule>
  14705. <startConnectionPoint>data_master</startConnectionPoint>
  14706. <endModule>mm_interconnect_0</endModule>
  14707. <endConnectionPoint>nios2_data_master</endConnectionPoint>
  14708. </connection>
  14709. <connection
  14710. name="clk_50.clk/mm_interconnect_0.clk_50_clk"
  14711. kind="clock"
  14712. version="18.1"
  14713. start="clk_50.clk"
  14714. end="mm_interconnect_0.clk_50_clk">
  14715. <parameter name="deviceFamily">
  14716. <type>java.lang.String</type>
  14717. <value>UNKNOWN</value>
  14718. <derived>false</derived>
  14719. <enabled>true</enabled>
  14720. <visible>true</visible>
  14721. <valid>true</valid>
  14722. </parameter>
  14723. <parameter name="generateLegacySim">
  14724. <type>boolean</type>
  14725. <value>false</value>
  14726. <derived>false</derived>
  14727. <enabled>true</enabled>
  14728. <visible>true</visible>
  14729. <valid>true</valid>
  14730. </parameter>
  14731. <startModule>clk_50</startModule>
  14732. <startConnectionPoint>clk</startConnectionPoint>
  14733. <endModule>mm_interconnect_0</endModule>
  14734. <endConnectionPoint>clk_50_clk</endConnectionPoint>
  14735. </connection>
  14736. <connection
  14737. name="nios2.instruction_master/mm_interconnect_0.nios2_instruction_master"
  14738. kind="avalon"
  14739. version="18.1"
  14740. start="nios2.instruction_master"
  14741. end="mm_interconnect_0.nios2_instruction_master">
  14742. <parameter name="arbitrationPriority">
  14743. <type>int</type>
  14744. <value>1</value>
  14745. <derived>false</derived>
  14746. <enabled>true</enabled>
  14747. <visible>true</visible>
  14748. <valid>true</valid>
  14749. </parameter>
  14750. <parameter name="baseAddress">
  14751. <type>java.math.BigInteger</type>
  14752. <value>0x0000</value>
  14753. <derived>false</derived>
  14754. <enabled>true</enabled>
  14755. <visible>true</visible>
  14756. <valid>true</valid>
  14757. </parameter>
  14758. <parameter name="defaultConnection">
  14759. <type>boolean</type>
  14760. <value>false</value>
  14761. <derived>false</derived>
  14762. <enabled>true</enabled>
  14763. <visible>true</visible>
  14764. <valid>true</valid>
  14765. </parameter>
  14766. <parameter name="deviceFamily">
  14767. <type>java.lang.String</type>
  14768. <value>UNKNOWN</value>
  14769. <derived>false</derived>
  14770. <enabled>true</enabled>
  14771. <visible>true</visible>
  14772. <valid>true</valid>
  14773. </parameter>
  14774. <parameter name="generateLegacySim">
  14775. <type>boolean</type>
  14776. <value>false</value>
  14777. <derived>false</derived>
  14778. <enabled>true</enabled>
  14779. <visible>true</visible>
  14780. <valid>true</valid>
  14781. </parameter>
  14782. <startModule>nios2</startModule>
  14783. <startConnectionPoint>instruction_master</startConnectionPoint>
  14784. <endModule>mm_interconnect_0</endModule>
  14785. <endConnectionPoint>nios2_instruction_master</endConnectionPoint>
  14786. </connection>
  14787. <connection
  14788. name="mm_interconnect_0.jtag_uart_avalon_jtag_slave/jtag_uart.avalon_jtag_slave"
  14789. kind="avalon"
  14790. version="18.1"
  14791. start="mm_interconnect_0.jtag_uart_avalon_jtag_slave"
  14792. end="jtag_uart.avalon_jtag_slave">
  14793. <parameter name="arbitrationPriority">
  14794. <type>int</type>
  14795. <value>1</value>
  14796. <derived>false</derived>
  14797. <enabled>true</enabled>
  14798. <visible>true</visible>
  14799. <valid>true</valid>
  14800. </parameter>
  14801. <parameter name="baseAddress">
  14802. <type>java.math.BigInteger</type>
  14803. <value>0x0000</value>
  14804. <derived>false</derived>
  14805. <enabled>true</enabled>
  14806. <visible>true</visible>
  14807. <valid>true</valid>
  14808. </parameter>
  14809. <parameter name="defaultConnection">
  14810. <type>boolean</type>
  14811. <value>false</value>
  14812. <derived>false</derived>
  14813. <enabled>true</enabled>
  14814. <visible>true</visible>
  14815. <valid>true</valid>
  14816. </parameter>
  14817. <parameter name="deviceFamily">
  14818. <type>java.lang.String</type>
  14819. <value>UNKNOWN</value>
  14820. <derived>false</derived>
  14821. <enabled>true</enabled>
  14822. <visible>true</visible>
  14823. <valid>true</valid>
  14824. </parameter>
  14825. <parameter name="generateLegacySim">
  14826. <type>boolean</type>
  14827. <value>false</value>
  14828. <derived>false</derived>
  14829. <enabled>true</enabled>
  14830. <visible>true</visible>
  14831. <valid>true</valid>
  14832. </parameter>
  14833. <startModule>mm_interconnect_0</startModule>
  14834. <startConnectionPoint>jtag_uart_avalon_jtag_slave</startConnectionPoint>
  14835. <endModule>jtag_uart</endModule>
  14836. <endConnectionPoint>avalon_jtag_slave</endConnectionPoint>
  14837. </connection>
  14838. <connection
  14839. name="mm_interconnect_0.lcd_16207_control_slave/lcd_16207.control_slave"
  14840. kind="avalon"
  14841. version="18.1"
  14842. start="mm_interconnect_0.lcd_16207_control_slave"
  14843. end="lcd_16207.control_slave">
  14844. <parameter name="arbitrationPriority">
  14845. <type>int</type>
  14846. <value>1</value>
  14847. <derived>false</derived>
  14848. <enabled>true</enabled>
  14849. <visible>true</visible>
  14850. <valid>true</valid>
  14851. </parameter>
  14852. <parameter name="baseAddress">
  14853. <type>java.math.BigInteger</type>
  14854. <value>0x0000</value>
  14855. <derived>false</derived>
  14856. <enabled>true</enabled>
  14857. <visible>true</visible>
  14858. <valid>true</valid>
  14859. </parameter>
  14860. <parameter name="defaultConnection">
  14861. <type>boolean</type>
  14862. <value>false</value>
  14863. <derived>false</derived>
  14864. <enabled>true</enabled>
  14865. <visible>true</visible>
  14866. <valid>true</valid>
  14867. </parameter>
  14868. <parameter name="deviceFamily">
  14869. <type>java.lang.String</type>
  14870. <value>UNKNOWN</value>
  14871. <derived>false</derived>
  14872. <enabled>true</enabled>
  14873. <visible>true</visible>
  14874. <valid>true</valid>
  14875. </parameter>
  14876. <parameter name="generateLegacySim">
  14877. <type>boolean</type>
  14878. <value>false</value>
  14879. <derived>false</derived>
  14880. <enabled>true</enabled>
  14881. <visible>true</visible>
  14882. <valid>true</valid>
  14883. </parameter>
  14884. <startModule>mm_interconnect_0</startModule>
  14885. <startConnectionPoint>lcd_16207_control_slave</startConnectionPoint>
  14886. <endModule>lcd_16207</endModule>
  14887. <endConnectionPoint>control_slave</endConnectionPoint>
  14888. </connection>
  14889. <connection
  14890. name="mm_interconnect_0.nios2_debug_mem_slave/nios2.debug_mem_slave"
  14891. kind="avalon"
  14892. version="18.1"
  14893. start="mm_interconnect_0.nios2_debug_mem_slave"
  14894. end="nios2.debug_mem_slave">
  14895. <parameter name="arbitrationPriority">
  14896. <type>int</type>
  14897. <value>1</value>
  14898. <derived>false</derived>
  14899. <enabled>true</enabled>
  14900. <visible>true</visible>
  14901. <valid>true</valid>
  14902. </parameter>
  14903. <parameter name="baseAddress">
  14904. <type>java.math.BigInteger</type>
  14905. <value>0x0000</value>
  14906. <derived>false</derived>
  14907. <enabled>true</enabled>
  14908. <visible>true</visible>
  14909. <valid>true</valid>
  14910. </parameter>
  14911. <parameter name="defaultConnection">
  14912. <type>boolean</type>
  14913. <value>false</value>
  14914. <derived>false</derived>
  14915. <enabled>true</enabled>
  14916. <visible>true</visible>
  14917. <valid>true</valid>
  14918. </parameter>
  14919. <parameter name="deviceFamily">
  14920. <type>java.lang.String</type>
  14921. <value>UNKNOWN</value>
  14922. <derived>false</derived>
  14923. <enabled>true</enabled>
  14924. <visible>true</visible>
  14925. <valid>true</valid>
  14926. </parameter>
  14927. <parameter name="generateLegacySim">
  14928. <type>boolean</type>
  14929. <value>false</value>
  14930. <derived>false</derived>
  14931. <enabled>true</enabled>
  14932. <visible>true</visible>
  14933. <valid>true</valid>
  14934. </parameter>
  14935. <startModule>mm_interconnect_0</startModule>
  14936. <startConnectionPoint>nios2_debug_mem_slave</startConnectionPoint>
  14937. <endModule>nios2</endModule>
  14938. <endConnectionPoint>debug_mem_slave</endConnectionPoint>
  14939. </connection>
  14940. <connection
  14941. name="mm_interconnect_0.onchip_memory2_s1/onchip_memory2.s1"
  14942. kind="avalon"
  14943. version="18.1"
  14944. start="mm_interconnect_0.onchip_memory2_s1"
  14945. end="onchip_memory2.s1">
  14946. <parameter name="arbitrationPriority">
  14947. <type>int</type>
  14948. <value>1</value>
  14949. <derived>false</derived>
  14950. <enabled>true</enabled>
  14951. <visible>true</visible>
  14952. <valid>true</valid>
  14953. </parameter>
  14954. <parameter name="baseAddress">
  14955. <type>java.math.BigInteger</type>
  14956. <value>0x0000</value>
  14957. <derived>false</derived>
  14958. <enabled>true</enabled>
  14959. <visible>true</visible>
  14960. <valid>true</valid>
  14961. </parameter>
  14962. <parameter name="defaultConnection">
  14963. <type>boolean</type>
  14964. <value>false</value>
  14965. <derived>false</derived>
  14966. <enabled>true</enabled>
  14967. <visible>true</visible>
  14968. <valid>true</valid>
  14969. </parameter>
  14970. <parameter name="deviceFamily">
  14971. <type>java.lang.String</type>
  14972. <value>UNKNOWN</value>
  14973. <derived>false</derived>
  14974. <enabled>true</enabled>
  14975. <visible>true</visible>
  14976. <valid>true</valid>
  14977. </parameter>
  14978. <parameter name="generateLegacySim">
  14979. <type>boolean</type>
  14980. <value>false</value>
  14981. <derived>false</derived>
  14982. <enabled>true</enabled>
  14983. <visible>true</visible>
  14984. <valid>true</valid>
  14985. </parameter>
  14986. <startModule>mm_interconnect_0</startModule>
  14987. <startConnectionPoint>onchip_memory2_s1</startConnectionPoint>
  14988. <endModule>onchip_memory2</endModule>
  14989. <endConnectionPoint>s1</endConnectionPoint>
  14990. </connection>
  14991. <connection
  14992. name="mm_interconnect_0.pio_LED_s1/pio_LED.s1"
  14993. kind="avalon"
  14994. version="18.1"
  14995. start="mm_interconnect_0.pio_LED_s1"
  14996. end="pio_LED.s1">
  14997. <parameter name="arbitrationPriority">
  14998. <type>int</type>
  14999. <value>1</value>
  15000. <derived>false</derived>
  15001. <enabled>true</enabled>
  15002. <visible>true</visible>
  15003. <valid>true</valid>
  15004. </parameter>
  15005. <parameter name="baseAddress">
  15006. <type>java.math.BigInteger</type>
  15007. <value>0x0000</value>
  15008. <derived>false</derived>
  15009. <enabled>true</enabled>
  15010. <visible>true</visible>
  15011. <valid>true</valid>
  15012. </parameter>
  15013. <parameter name="defaultConnection">
  15014. <type>boolean</type>
  15015. <value>false</value>
  15016. <derived>false</derived>
  15017. <enabled>true</enabled>
  15018. <visible>true</visible>
  15019. <valid>true</valid>
  15020. </parameter>
  15021. <parameter name="deviceFamily">
  15022. <type>java.lang.String</type>
  15023. <value>UNKNOWN</value>
  15024. <derived>false</derived>
  15025. <enabled>true</enabled>
  15026. <visible>true</visible>
  15027. <valid>true</valid>
  15028. </parameter>
  15029. <parameter name="generateLegacySim">
  15030. <type>boolean</type>
  15031. <value>false</value>
  15032. <derived>false</derived>
  15033. <enabled>true</enabled>
  15034. <visible>true</visible>
  15035. <valid>true</valid>
  15036. </parameter>
  15037. <startModule>mm_interconnect_0</startModule>
  15038. <startConnectionPoint>pio_LED_s1</startConnectionPoint>
  15039. <endModule>pio_LED</endModule>
  15040. <endConnectionPoint>s1</endConnectionPoint>
  15041. </connection>
  15042. <connection
  15043. name="mm_interconnect_0.pio_MATRIX_s1/pio_MATRIX.s1"
  15044. kind="avalon"
  15045. version="18.1"
  15046. start="mm_interconnect_0.pio_MATRIX_s1"
  15047. end="pio_MATRIX.s1">
  15048. <parameter name="arbitrationPriority">
  15049. <type>int</type>
  15050. <value>1</value>
  15051. <derived>false</derived>
  15052. <enabled>true</enabled>
  15053. <visible>true</visible>
  15054. <valid>true</valid>
  15055. </parameter>
  15056. <parameter name="baseAddress">
  15057. <type>java.math.BigInteger</type>
  15058. <value>0x0000</value>
  15059. <derived>false</derived>
  15060. <enabled>true</enabled>
  15061. <visible>true</visible>
  15062. <valid>true</valid>
  15063. </parameter>
  15064. <parameter name="defaultConnection">
  15065. <type>boolean</type>
  15066. <value>false</value>
  15067. <derived>false</derived>
  15068. <enabled>true</enabled>
  15069. <visible>true</visible>
  15070. <valid>true</valid>
  15071. </parameter>
  15072. <parameter name="deviceFamily">
  15073. <type>java.lang.String</type>
  15074. <value>UNKNOWN</value>
  15075. <derived>false</derived>
  15076. <enabled>true</enabled>
  15077. <visible>true</visible>
  15078. <valid>true</valid>
  15079. </parameter>
  15080. <parameter name="generateLegacySim">
  15081. <type>boolean</type>
  15082. <value>false</value>
  15083. <derived>false</derived>
  15084. <enabled>true</enabled>
  15085. <visible>true</visible>
  15086. <valid>true</valid>
  15087. </parameter>
  15088. <startModule>mm_interconnect_0</startModule>
  15089. <startConnectionPoint>pio_MATRIX_s1</startConnectionPoint>
  15090. <endModule>pio_MATRIX</endModule>
  15091. <endConnectionPoint>s1</endConnectionPoint>
  15092. </connection>
  15093. <connection
  15094. name="mm_interconnect_0.pio_BUTTON_s1/pio_BUTTON.s1"
  15095. kind="avalon"
  15096. version="18.1"
  15097. start="mm_interconnect_0.pio_BUTTON_s1"
  15098. end="pio_BUTTON.s1">
  15099. <parameter name="arbitrationPriority">
  15100. <type>int</type>
  15101. <value>1</value>
  15102. <derived>false</derived>
  15103. <enabled>true</enabled>
  15104. <visible>true</visible>
  15105. <valid>true</valid>
  15106. </parameter>
  15107. <parameter name="baseAddress">
  15108. <type>java.math.BigInteger</type>
  15109. <value>0x0000</value>
  15110. <derived>false</derived>
  15111. <enabled>true</enabled>
  15112. <visible>true</visible>
  15113. <valid>true</valid>
  15114. </parameter>
  15115. <parameter name="defaultConnection">
  15116. <type>boolean</type>
  15117. <value>false</value>
  15118. <derived>false</derived>
  15119. <enabled>true</enabled>
  15120. <visible>true</visible>
  15121. <valid>true</valid>
  15122. </parameter>
  15123. <parameter name="deviceFamily">
  15124. <type>java.lang.String</type>
  15125. <value>UNKNOWN</value>
  15126. <derived>false</derived>
  15127. <enabled>true</enabled>
  15128. <visible>true</visible>
  15129. <valid>true</valid>
  15130. </parameter>
  15131. <parameter name="generateLegacySim">
  15132. <type>boolean</type>
  15133. <value>false</value>
  15134. <derived>false</derived>
  15135. <enabled>true</enabled>
  15136. <visible>true</visible>
  15137. <valid>true</valid>
  15138. </parameter>
  15139. <startModule>mm_interconnect_0</startModule>
  15140. <startConnectionPoint>pio_BUTTON_s1</startConnectionPoint>
  15141. <endModule>pio_BUTTON</endModule>
  15142. <endConnectionPoint>s1</endConnectionPoint>
  15143. </connection>
  15144. <connection
  15145. name="irq_mapper.receiver0/jtag_uart.irq"
  15146. kind="interrupt"
  15147. version="18.1"
  15148. start="irq_mapper.receiver0"
  15149. end="jtag_uart.irq">
  15150. <parameter name="irqNumber">
  15151. <type>int</type>
  15152. <value>0</value>
  15153. <derived>false</derived>
  15154. <enabled>true</enabled>
  15155. <visible>true</visible>
  15156. <valid>true</valid>
  15157. </parameter>
  15158. <parameter name="deviceFamily">
  15159. <type>java.lang.String</type>
  15160. <value>UNKNOWN</value>
  15161. <derived>false</derived>
  15162. <enabled>true</enabled>
  15163. <visible>true</visible>
  15164. <valid>true</valid>
  15165. </parameter>
  15166. <parameter name="generateLegacySim">
  15167. <type>boolean</type>
  15168. <value>false</value>
  15169. <derived>false</derived>
  15170. <enabled>true</enabled>
  15171. <visible>true</visible>
  15172. <valid>true</valid>
  15173. </parameter>
  15174. <startModule>irq_mapper</startModule>
  15175. <startConnectionPoint>receiver0</startConnectionPoint>
  15176. <endModule>jtag_uart</endModule>
  15177. <endConnectionPoint>irq</endConnectionPoint>
  15178. </connection>
  15179. <connection
  15180. name="nios2.irq/irq_mapper.sender"
  15181. kind="interrupt"
  15182. version="18.1"
  15183. start="nios2.irq"
  15184. end="irq_mapper.sender">
  15185. <parameter name="irqNumber">
  15186. <type>int</type>
  15187. <value>0</value>
  15188. <derived>false</derived>
  15189. <enabled>true</enabled>
  15190. <visible>true</visible>
  15191. <valid>true</valid>
  15192. </parameter>
  15193. <parameter name="deviceFamily">
  15194. <type>java.lang.String</type>
  15195. <value>UNKNOWN</value>
  15196. <derived>false</derived>
  15197. <enabled>true</enabled>
  15198. <visible>true</visible>
  15199. <valid>true</valid>
  15200. </parameter>
  15201. <parameter name="generateLegacySim">
  15202. <type>boolean</type>
  15203. <value>false</value>
  15204. <derived>false</derived>
  15205. <enabled>true</enabled>
  15206. <visible>true</visible>
  15207. <valid>true</valid>
  15208. </parameter>
  15209. <startModule>nios2</startModule>
  15210. <startConnectionPoint>irq</startConnectionPoint>
  15211. <endModule>irq_mapper</endModule>
  15212. <endConnectionPoint>sender</endConnectionPoint>
  15213. </connection>
  15214. <connection
  15215. name="clk_50.clk/irq_mapper.clk"
  15216. kind="clock"
  15217. version="18.1"
  15218. start="clk_50.clk"
  15219. end="irq_mapper.clk">
  15220. <parameter name="deviceFamily">
  15221. <type>java.lang.String</type>
  15222. <value>UNKNOWN</value>
  15223. <derived>false</derived>
  15224. <enabled>true</enabled>
  15225. <visible>true</visible>
  15226. <valid>true</valid>
  15227. </parameter>
  15228. <parameter name="generateLegacySim">
  15229. <type>boolean</type>
  15230. <value>false</value>
  15231. <derived>false</derived>
  15232. <enabled>true</enabled>
  15233. <visible>true</visible>
  15234. <valid>true</valid>
  15235. </parameter>
  15236. <startModule>clk_50</startModule>
  15237. <startConnectionPoint>clk</startConnectionPoint>
  15238. <endModule>irq_mapper</endModule>
  15239. <endConnectionPoint>clk</endConnectionPoint>
  15240. </connection>
  15241. <connection
  15242. name="rst_controller.reset_out/rst_translator.in_reset"
  15243. kind="reset"
  15244. version="18.1"
  15245. start="rst_controller.reset_out"
  15246. end="rst_translator.in_reset">
  15247. <parameter name="deviceFamily">
  15248. <type>java.lang.String</type>
  15249. <value>UNKNOWN</value>
  15250. <derived>false</derived>
  15251. <enabled>true</enabled>
  15252. <visible>true</visible>
  15253. <valid>true</valid>
  15254. </parameter>
  15255. <parameter name="generateLegacySim">
  15256. <type>boolean</type>
  15257. <value>false</value>
  15258. <derived>false</derived>
  15259. <enabled>true</enabled>
  15260. <visible>true</visible>
  15261. <valid>true</valid>
  15262. </parameter>
  15263. <startModule>rst_controller</startModule>
  15264. <startConnectionPoint>reset_out</startConnectionPoint>
  15265. <endModule>rst_translator</endModule>
  15266. <endConnectionPoint>in_reset</endConnectionPoint>
  15267. </connection>
  15268. <connection
  15269. name="rst_translator.out_reset/jtag_uart.reset"
  15270. kind="reset"
  15271. version="18.1"
  15272. start="rst_translator.out_reset"
  15273. end="jtag_uart.reset">
  15274. <parameter name="deviceFamily">
  15275. <type>java.lang.String</type>
  15276. <value>UNKNOWN</value>
  15277. <derived>false</derived>
  15278. <enabled>true</enabled>
  15279. <visible>true</visible>
  15280. <valid>true</valid>
  15281. </parameter>
  15282. <parameter name="generateLegacySim">
  15283. <type>boolean</type>
  15284. <value>false</value>
  15285. <derived>false</derived>
  15286. <enabled>true</enabled>
  15287. <visible>true</visible>
  15288. <valid>true</valid>
  15289. </parameter>
  15290. <startModule>rst_translator</startModule>
  15291. <startConnectionPoint>out_reset</startConnectionPoint>
  15292. <endModule>jtag_uart</endModule>
  15293. <endConnectionPoint>reset</endConnectionPoint>
  15294. </connection>
  15295. <connection
  15296. name="rst_controller.reset_out/rst_translator.in_reset"
  15297. kind="reset"
  15298. version="18.1"
  15299. start="rst_controller.reset_out"
  15300. end="rst_translator.in_reset">
  15301. <parameter name="deviceFamily">
  15302. <type>java.lang.String</type>
  15303. <value>UNKNOWN</value>
  15304. <derived>false</derived>
  15305. <enabled>true</enabled>
  15306. <visible>true</visible>
  15307. <valid>true</valid>
  15308. </parameter>
  15309. <parameter name="generateLegacySim">
  15310. <type>boolean</type>
  15311. <value>false</value>
  15312. <derived>false</derived>
  15313. <enabled>true</enabled>
  15314. <visible>true</visible>
  15315. <valid>true</valid>
  15316. </parameter>
  15317. <startModule>rst_controller</startModule>
  15318. <startConnectionPoint>reset_out</startConnectionPoint>
  15319. <endModule>rst_translator</endModule>
  15320. <endConnectionPoint>in_reset</endConnectionPoint>
  15321. </connection>
  15322. <connection
  15323. name="rst_translator.out_reset/lcd_16207.reset"
  15324. kind="reset"
  15325. version="18.1"
  15326. start="rst_translator.out_reset"
  15327. end="lcd_16207.reset">
  15328. <parameter name="deviceFamily">
  15329. <type>java.lang.String</type>
  15330. <value>UNKNOWN</value>
  15331. <derived>false</derived>
  15332. <enabled>true</enabled>
  15333. <visible>true</visible>
  15334. <valid>true</valid>
  15335. </parameter>
  15336. <parameter name="generateLegacySim">
  15337. <type>boolean</type>
  15338. <value>false</value>
  15339. <derived>false</derived>
  15340. <enabled>true</enabled>
  15341. <visible>true</visible>
  15342. <valid>true</valid>
  15343. </parameter>
  15344. <startModule>rst_translator</startModule>
  15345. <startConnectionPoint>out_reset</startConnectionPoint>
  15346. <endModule>lcd_16207</endModule>
  15347. <endConnectionPoint>reset</endConnectionPoint>
  15348. </connection>
  15349. <connection
  15350. name="rst_controller.reset_out/nios2.reset"
  15351. kind="reset"
  15352. version="18.1"
  15353. start="rst_controller.reset_out"
  15354. end="nios2.reset">
  15355. <parameter name="deviceFamily">
  15356. <type>java.lang.String</type>
  15357. <value>UNKNOWN</value>
  15358. <derived>false</derived>
  15359. <enabled>true</enabled>
  15360. <visible>true</visible>
  15361. <valid>true</valid>
  15362. </parameter>
  15363. <parameter name="generateLegacySim">
  15364. <type>boolean</type>
  15365. <value>false</value>
  15366. <derived>false</derived>
  15367. <enabled>true</enabled>
  15368. <visible>true</visible>
  15369. <valid>true</valid>
  15370. </parameter>
  15371. <startModule>rst_controller</startModule>
  15372. <startConnectionPoint>reset_out</startConnectionPoint>
  15373. <endModule>nios2</endModule>
  15374. <endConnectionPoint>reset</endConnectionPoint>
  15375. </connection>
  15376. <connection
  15377. name="rst_controller.reset_out/onchip_memory2.reset1"
  15378. kind="reset"
  15379. version="18.1"
  15380. start="rst_controller.reset_out"
  15381. end="onchip_memory2.reset1">
  15382. <parameter name="deviceFamily">
  15383. <type>java.lang.String</type>
  15384. <value>UNKNOWN</value>
  15385. <derived>false</derived>
  15386. <enabled>true</enabled>
  15387. <visible>true</visible>
  15388. <valid>true</valid>
  15389. </parameter>
  15390. <parameter name="generateLegacySim">
  15391. <type>boolean</type>
  15392. <value>false</value>
  15393. <derived>false</derived>
  15394. <enabled>true</enabled>
  15395. <visible>true</visible>
  15396. <valid>true</valid>
  15397. </parameter>
  15398. <startModule>rst_controller</startModule>
  15399. <startConnectionPoint>reset_out</startConnectionPoint>
  15400. <endModule>onchip_memory2</endModule>
  15401. <endConnectionPoint>reset1</endConnectionPoint>
  15402. </connection>
  15403. <connection
  15404. name="rst_controller.reset_out/rst_translator.in_reset"
  15405. kind="reset"
  15406. version="18.1"
  15407. start="rst_controller.reset_out"
  15408. end="rst_translator.in_reset">
  15409. <parameter name="deviceFamily">
  15410. <type>java.lang.String</type>
  15411. <value>UNKNOWN</value>
  15412. <derived>false</derived>
  15413. <enabled>true</enabled>
  15414. <visible>true</visible>
  15415. <valid>true</valid>
  15416. </parameter>
  15417. <parameter name="generateLegacySim">
  15418. <type>boolean</type>
  15419. <value>false</value>
  15420. <derived>false</derived>
  15421. <enabled>true</enabled>
  15422. <visible>true</visible>
  15423. <valid>true</valid>
  15424. </parameter>
  15425. <startModule>rst_controller</startModule>
  15426. <startConnectionPoint>reset_out</startConnectionPoint>
  15427. <endModule>rst_translator</endModule>
  15428. <endConnectionPoint>in_reset</endConnectionPoint>
  15429. </connection>
  15430. <connection
  15431. name="rst_translator.out_reset/pio_BUTTON.reset"
  15432. kind="reset"
  15433. version="18.1"
  15434. start="rst_translator.out_reset"
  15435. end="pio_BUTTON.reset">
  15436. <parameter name="deviceFamily">
  15437. <type>java.lang.String</type>
  15438. <value>UNKNOWN</value>
  15439. <derived>false</derived>
  15440. <enabled>true</enabled>
  15441. <visible>true</visible>
  15442. <valid>true</valid>
  15443. </parameter>
  15444. <parameter name="generateLegacySim">
  15445. <type>boolean</type>
  15446. <value>false</value>
  15447. <derived>false</derived>
  15448. <enabled>true</enabled>
  15449. <visible>true</visible>
  15450. <valid>true</valid>
  15451. </parameter>
  15452. <startModule>rst_translator</startModule>
  15453. <startConnectionPoint>out_reset</startConnectionPoint>
  15454. <endModule>pio_BUTTON</endModule>
  15455. <endConnectionPoint>reset</endConnectionPoint>
  15456. </connection>
  15457. <connection
  15458. name="rst_controller.reset_out/rst_translator.in_reset"
  15459. kind="reset"
  15460. version="18.1"
  15461. start="rst_controller.reset_out"
  15462. end="rst_translator.in_reset">
  15463. <parameter name="deviceFamily">
  15464. <type>java.lang.String</type>
  15465. <value>UNKNOWN</value>
  15466. <derived>false</derived>
  15467. <enabled>true</enabled>
  15468. <visible>true</visible>
  15469. <valid>true</valid>
  15470. </parameter>
  15471. <parameter name="generateLegacySim">
  15472. <type>boolean</type>
  15473. <value>false</value>
  15474. <derived>false</derived>
  15475. <enabled>true</enabled>
  15476. <visible>true</visible>
  15477. <valid>true</valid>
  15478. </parameter>
  15479. <startModule>rst_controller</startModule>
  15480. <startConnectionPoint>reset_out</startConnectionPoint>
  15481. <endModule>rst_translator</endModule>
  15482. <endConnectionPoint>in_reset</endConnectionPoint>
  15483. </connection>
  15484. <connection
  15485. name="rst_translator.out_reset/pio_LED.reset"
  15486. kind="reset"
  15487. version="18.1"
  15488. start="rst_translator.out_reset"
  15489. end="pio_LED.reset">
  15490. <parameter name="deviceFamily">
  15491. <type>java.lang.String</type>
  15492. <value>UNKNOWN</value>
  15493. <derived>false</derived>
  15494. <enabled>true</enabled>
  15495. <visible>true</visible>
  15496. <valid>true</valid>
  15497. </parameter>
  15498. <parameter name="generateLegacySim">
  15499. <type>boolean</type>
  15500. <value>false</value>
  15501. <derived>false</derived>
  15502. <enabled>true</enabled>
  15503. <visible>true</visible>
  15504. <valid>true</valid>
  15505. </parameter>
  15506. <startModule>rst_translator</startModule>
  15507. <startConnectionPoint>out_reset</startConnectionPoint>
  15508. <endModule>pio_LED</endModule>
  15509. <endConnectionPoint>reset</endConnectionPoint>
  15510. </connection>
  15511. <connection
  15512. name="rst_controller.reset_out/rst_translator.in_reset"
  15513. kind="reset"
  15514. version="18.1"
  15515. start="rst_controller.reset_out"
  15516. end="rst_translator.in_reset">
  15517. <parameter name="deviceFamily">
  15518. <type>java.lang.String</type>
  15519. <value>UNKNOWN</value>
  15520. <derived>false</derived>
  15521. <enabled>true</enabled>
  15522. <visible>true</visible>
  15523. <valid>true</valid>
  15524. </parameter>
  15525. <parameter name="generateLegacySim">
  15526. <type>boolean</type>
  15527. <value>false</value>
  15528. <derived>false</derived>
  15529. <enabled>true</enabled>
  15530. <visible>true</visible>
  15531. <valid>true</valid>
  15532. </parameter>
  15533. <startModule>rst_controller</startModule>
  15534. <startConnectionPoint>reset_out</startConnectionPoint>
  15535. <endModule>rst_translator</endModule>
  15536. <endConnectionPoint>in_reset</endConnectionPoint>
  15537. </connection>
  15538. <connection
  15539. name="rst_translator.out_reset/pio_MATRIX.reset"
  15540. kind="reset"
  15541. version="18.1"
  15542. start="rst_translator.out_reset"
  15543. end="pio_MATRIX.reset">
  15544. <parameter name="deviceFamily">
  15545. <type>java.lang.String</type>
  15546. <value>UNKNOWN</value>
  15547. <derived>false</derived>
  15548. <enabled>true</enabled>
  15549. <visible>true</visible>
  15550. <valid>true</valid>
  15551. </parameter>
  15552. <parameter name="generateLegacySim">
  15553. <type>boolean</type>
  15554. <value>false</value>
  15555. <derived>false</derived>
  15556. <enabled>true</enabled>
  15557. <visible>true</visible>
  15558. <valid>true</valid>
  15559. </parameter>
  15560. <startModule>rst_translator</startModule>
  15561. <startConnectionPoint>out_reset</startConnectionPoint>
  15562. <endModule>pio_MATRIX</endModule>
  15563. <endConnectionPoint>reset</endConnectionPoint>
  15564. </connection>
  15565. <connection
  15566. name="rst_controller.reset_out/rst_translator.in_reset"
  15567. kind="reset"
  15568. version="18.1"
  15569. start="rst_controller.reset_out"
  15570. end="rst_translator.in_reset">
  15571. <parameter name="deviceFamily">
  15572. <type>java.lang.String</type>
  15573. <value>UNKNOWN</value>
  15574. <derived>false</derived>
  15575. <enabled>true</enabled>
  15576. <visible>true</visible>
  15577. <valid>true</valid>
  15578. </parameter>
  15579. <parameter name="generateLegacySim">
  15580. <type>boolean</type>
  15581. <value>false</value>
  15582. <derived>false</derived>
  15583. <enabled>true</enabled>
  15584. <visible>true</visible>
  15585. <valid>true</valid>
  15586. </parameter>
  15587. <startModule>rst_controller</startModule>
  15588. <startConnectionPoint>reset_out</startConnectionPoint>
  15589. <endModule>rst_translator</endModule>
  15590. <endConnectionPoint>in_reset</endConnectionPoint>
  15591. </connection>
  15592. <connection
  15593. name="rst_translator.out_reset/mm_interconnect_0.nios2_reset_reset_bridge_in_reset"
  15594. kind="reset"
  15595. version="18.1"
  15596. start="rst_translator.out_reset"
  15597. end="mm_interconnect_0.nios2_reset_reset_bridge_in_reset">
  15598. <parameter name="deviceFamily">
  15599. <type>java.lang.String</type>
  15600. <value>UNKNOWN</value>
  15601. <derived>false</derived>
  15602. <enabled>true</enabled>
  15603. <visible>true</visible>
  15604. <valid>true</valid>
  15605. </parameter>
  15606. <parameter name="generateLegacySim">
  15607. <type>boolean</type>
  15608. <value>false</value>
  15609. <derived>false</derived>
  15610. <enabled>true</enabled>
  15611. <visible>true</visible>
  15612. <valid>true</valid>
  15613. </parameter>
  15614. <startModule>rst_translator</startModule>
  15615. <startConnectionPoint>out_reset</startConnectionPoint>
  15616. <endModule>mm_interconnect_0</endModule>
  15617. <endConnectionPoint>nios2_reset_reset_bridge_in_reset</endConnectionPoint>
  15618. </connection>
  15619. <connection
  15620. name="rst_controller.reset_out/rst_translator.in_reset"
  15621. kind="reset"
  15622. version="18.1"
  15623. start="rst_controller.reset_out"
  15624. end="rst_translator.in_reset">
  15625. <parameter name="deviceFamily">
  15626. <type>java.lang.String</type>
  15627. <value>UNKNOWN</value>
  15628. <derived>false</derived>
  15629. <enabled>true</enabled>
  15630. <visible>true</visible>
  15631. <valid>true</valid>
  15632. </parameter>
  15633. <parameter name="generateLegacySim">
  15634. <type>boolean</type>
  15635. <value>false</value>
  15636. <derived>false</derived>
  15637. <enabled>true</enabled>
  15638. <visible>true</visible>
  15639. <valid>true</valid>
  15640. </parameter>
  15641. <startModule>rst_controller</startModule>
  15642. <startConnectionPoint>reset_out</startConnectionPoint>
  15643. <endModule>rst_translator</endModule>
  15644. <endConnectionPoint>in_reset</endConnectionPoint>
  15645. </connection>
  15646. <connection
  15647. name="rst_translator.out_reset/irq_mapper.clk_reset"
  15648. kind="reset"
  15649. version="18.1"
  15650. start="rst_translator.out_reset"
  15651. end="irq_mapper.clk_reset">
  15652. <parameter name="deviceFamily">
  15653. <type>java.lang.String</type>
  15654. <value>UNKNOWN</value>
  15655. <derived>false</derived>
  15656. <enabled>true</enabled>
  15657. <visible>true</visible>
  15658. <valid>true</valid>
  15659. </parameter>
  15660. <parameter name="generateLegacySim">
  15661. <type>boolean</type>
  15662. <value>false</value>
  15663. <derived>false</derived>
  15664. <enabled>true</enabled>
  15665. <visible>true</visible>
  15666. <valid>true</valid>
  15667. </parameter>
  15668. <startModule>rst_translator</startModule>
  15669. <startConnectionPoint>out_reset</startConnectionPoint>
  15670. <endModule>irq_mapper</endModule>
  15671. <endConnectionPoint>clk_reset</endConnectionPoint>
  15672. </connection>
  15673. <connection
  15674. name="clk_50.clk_reset/rst_controller.reset_in0"
  15675. kind="reset"
  15676. version="18.1"
  15677. start="clk_50.clk_reset"
  15678. end="rst_controller.reset_in0">
  15679. <parameter name="deviceFamily">
  15680. <type>java.lang.String</type>
  15681. <value>UNKNOWN</value>
  15682. <derived>false</derived>
  15683. <enabled>true</enabled>
  15684. <visible>true</visible>
  15685. <valid>true</valid>
  15686. </parameter>
  15687. <parameter name="generateLegacySim">
  15688. <type>boolean</type>
  15689. <value>false</value>
  15690. <derived>false</derived>
  15691. <enabled>true</enabled>
  15692. <visible>true</visible>
  15693. <valid>true</valid>
  15694. </parameter>
  15695. <startModule>clk_50</startModule>
  15696. <startConnectionPoint>clk_reset</startConnectionPoint>
  15697. <endModule>rst_controller</endModule>
  15698. <endConnectionPoint>reset_in0</endConnectionPoint>
  15699. </connection>
  15700. <connection
  15701. name="nios2.debug_reset_request/rst_controller.reset_in1"
  15702. kind="reset"
  15703. version="18.1"
  15704. start="nios2.debug_reset_request"
  15705. end="rst_controller.reset_in1">
  15706. <parameter name="deviceFamily">
  15707. <type>java.lang.String</type>
  15708. <value>UNKNOWN</value>
  15709. <derived>false</derived>
  15710. <enabled>true</enabled>
  15711. <visible>true</visible>
  15712. <valid>true</valid>
  15713. </parameter>
  15714. <parameter name="generateLegacySim">
  15715. <type>boolean</type>
  15716. <value>false</value>
  15717. <derived>false</derived>
  15718. <enabled>true</enabled>
  15719. <visible>true</visible>
  15720. <valid>true</valid>
  15721. </parameter>
  15722. <startModule>nios2</startModule>
  15723. <startConnectionPoint>debug_reset_request</startConnectionPoint>
  15724. <endModule>rst_controller</endModule>
  15725. <endConnectionPoint>reset_in1</endConnectionPoint>
  15726. </connection>
  15727. <connection
  15728. name="clk_50.clk/rst_controller.clk"
  15729. kind="clock"
  15730. version="18.1"
  15731. start="clk_50.clk"
  15732. end="rst_controller.clk">
  15733. <parameter name="deviceFamily">
  15734. <type>java.lang.String</type>
  15735. <value>UNKNOWN</value>
  15736. <derived>false</derived>
  15737. <enabled>true</enabled>
  15738. <visible>true</visible>
  15739. <valid>true</valid>
  15740. </parameter>
  15741. <parameter name="generateLegacySim">
  15742. <type>boolean</type>
  15743. <value>false</value>
  15744. <derived>false</derived>
  15745. <enabled>true</enabled>
  15746. <visible>true</visible>
  15747. <valid>true</valid>
  15748. </parameter>
  15749. <startModule>clk_50</startModule>
  15750. <startConnectionPoint>clk</startConnectionPoint>
  15751. <endModule>rst_controller</endModule>
  15752. <endConnectionPoint>clk</endConnectionPoint>
  15753. </connection>
  15754. <connection
  15755. name="clk_50.clk/rst_translator.clk"
  15756. kind="clock"
  15757. version="18.1"
  15758. start="clk_50.clk"
  15759. end="rst_translator.clk">
  15760. <parameter name="deviceFamily">
  15761. <type>java.lang.String</type>
  15762. <value>UNKNOWN</value>
  15763. <derived>false</derived>
  15764. <enabled>true</enabled>
  15765. <visible>true</visible>
  15766. <valid>true</valid>
  15767. </parameter>
  15768. <parameter name="generateLegacySim">
  15769. <type>boolean</type>
  15770. <value>false</value>
  15771. <derived>false</derived>
  15772. <enabled>true</enabled>
  15773. <visible>true</visible>
  15774. <valid>true</valid>
  15775. </parameter>
  15776. <startModule>clk_50</startModule>
  15777. <startConnectionPoint>clk</startConnectionPoint>
  15778. <endModule>rst_translator</endModule>
  15779. <endConnectionPoint>clk</endConnectionPoint>
  15780. </connection>
  15781. <plugin>
  15782. <instanceCount>1</instanceCount>
  15783. <name>clock_source</name>
  15784. <type>com.altera.entityinterfaces.IElementClass</type>
  15785. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15786. <displayName>Clock Source</displayName>
  15787. <version>18.1</version>
  15788. </plugin>
  15789. <plugin>
  15790. <instanceCount>1</instanceCount>
  15791. <name>clock_sink</name>
  15792. <type>com.altera.entityinterfaces.IElementClass</type>
  15793. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15794. <displayName>Clock Input</displayName>
  15795. <version>18.1</version>
  15796. </plugin>
  15797. <plugin>
  15798. <instanceCount>1</instanceCount>
  15799. <name>reset_sink</name>
  15800. <type>com.altera.entityinterfaces.IElementClass</type>
  15801. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15802. <displayName>Reset Input</displayName>
  15803. <version>18.1</version>
  15804. </plugin>
  15805. <plugin>
  15806. <instanceCount>1</instanceCount>
  15807. <name>clock_source</name>
  15808. <type>com.altera.entityinterfaces.IElementClass</type>
  15809. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15810. <displayName>Clock Output</displayName>
  15811. <version>18.1</version>
  15812. </plugin>
  15813. <plugin>
  15814. <instanceCount>1</instanceCount>
  15815. <name>reset_source</name>
  15816. <type>com.altera.entityinterfaces.IElementClass</type>
  15817. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15818. <displayName>Reset Output</displayName>
  15819. <version>18.1</version>
  15820. </plugin>
  15821. <plugin>
  15822. <instanceCount>1</instanceCount>
  15823. <name>altera_avalon_jtag_uart</name>
  15824. <type>com.altera.entityinterfaces.IElementClass</type>
  15825. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15826. <displayName>JTAG UART Intel FPGA IP</displayName>
  15827. <version>18.1</version>
  15828. </plugin>
  15829. <plugin>
  15830. <instanceCount>11</instanceCount>
  15831. <name>clock_sink</name>
  15832. <type>com.altera.entityinterfaces.IElementClass</type>
  15833. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15834. <displayName>Clock Input</displayName>
  15835. <version>18.1</version>
  15836. </plugin>
  15837. <plugin>
  15838. <instanceCount>12</instanceCount>
  15839. <name>reset_sink</name>
  15840. <type>com.altera.entityinterfaces.IElementClass</type>
  15841. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15842. <displayName>Reset Input</displayName>
  15843. <version>18.1</version>
  15844. </plugin>
  15845. <plugin>
  15846. <instanceCount>9</instanceCount>
  15847. <name>avalon_slave</name>
  15848. <type>com.altera.entityinterfaces.IElementClass</type>
  15849. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15850. <displayName>Avalon Memory Mapped Slave</displayName>
  15851. <version>18.1</version>
  15852. </plugin>
  15853. <plugin>
  15854. <instanceCount>2</instanceCount>
  15855. <name>interrupt_sender</name>
  15856. <type>com.altera.entityinterfaces.IElementClass</type>
  15857. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15858. <displayName>Interrupt Sender</displayName>
  15859. <version>18.1</version>
  15860. </plugin>
  15861. <plugin>
  15862. <instanceCount>1</instanceCount>
  15863. <name>altera_avalon_lcd_16207</name>
  15864. <type>com.altera.entityinterfaces.IElementClass</type>
  15865. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15866. <displayName>Avalon LCD 16207 Intel FPGA IP</displayName>
  15867. <version>18.1</version>
  15868. </plugin>
  15869. <plugin>
  15870. <instanceCount>4</instanceCount>
  15871. <name>conduit_end</name>
  15872. <type>com.altera.entityinterfaces.IElementClass</type>
  15873. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15874. <displayName>Conduit</displayName>
  15875. <version>18.1</version>
  15876. </plugin>
  15877. <plugin>
  15878. <instanceCount>1</instanceCount>
  15879. <name>altera_nios2_gen2</name>
  15880. <type>com.altera.entityinterfaces.IElementClass</type>
  15881. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15882. <displayName>Nios II Processor</displayName>
  15883. <version>18.1</version>
  15884. </plugin>
  15885. <plugin>
  15886. <instanceCount>9</instanceCount>
  15887. <name>avalon_master</name>
  15888. <type>com.altera.entityinterfaces.IElementClass</type>
  15889. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15890. <displayName>Avalon Memory Mapped Master</displayName>
  15891. <version>18.1</version>
  15892. </plugin>
  15893. <plugin>
  15894. <instanceCount>2</instanceCount>
  15895. <name>interrupt_receiver</name>
  15896. <type>com.altera.entityinterfaces.IElementClass</type>
  15897. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15898. <displayName>Interrupt Receiver</displayName>
  15899. <version>18.1</version>
  15900. </plugin>
  15901. <plugin>
  15902. <instanceCount>3</instanceCount>
  15903. <name>reset_source</name>
  15904. <type>com.altera.entityinterfaces.IElementClass</type>
  15905. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15906. <displayName>Reset Output</displayName>
  15907. <version>18.1</version>
  15908. </plugin>
  15909. <plugin>
  15910. <instanceCount>5</instanceCount>
  15911. <name>nios_custom_instruction_master</name>
  15912. <type>com.altera.entityinterfaces.IElementClass</type>
  15913. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15914. <displayName>Custom Instruction Master</displayName>
  15915. <version>18.1</version>
  15916. </plugin>
  15917. <plugin>
  15918. <instanceCount>1</instanceCount>
  15919. <name>altera_nios_custom_instr_floating_point</name>
  15920. <type>com.altera.entityinterfaces.IElementClass</type>
  15921. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15922. <displayName>Floating Point Hardware</displayName>
  15923. <version>18.1</version>
  15924. </plugin>
  15925. <plugin>
  15926. <instanceCount>4</instanceCount>
  15927. <name>nios_custom_instruction_slave</name>
  15928. <type>com.altera.entityinterfaces.IElementClass</type>
  15929. <subtype>com.altera.entityinterfaces.IMutableConnectionPoint</subtype>
  15930. <displayName>Custom Instruction Slave</displayName>
  15931. <version>18.1</version>
  15932. </plugin>
  15933. <plugin>
  15934. <instanceCount>1</instanceCount>
  15935. <name>altera_avalon_onchip_memory2</name>
  15936. <type>com.altera.entityinterfaces.IElementClass</type>
  15937. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15938. <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName>
  15939. <version>18.1</version>
  15940. </plugin>
  15941. <plugin>
  15942. <instanceCount>3</instanceCount>
  15943. <name>altera_avalon_pio</name>
  15944. <type>com.altera.entityinterfaces.IElementClass</type>
  15945. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15946. <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName>
  15947. <version>18.1</version>
  15948. </plugin>
  15949. <plugin>
  15950. <instanceCount>1</instanceCount>
  15951. <name>altera_customins_master_translator</name>
  15952. <type>com.altera.entityinterfaces.IElementClass</type>
  15953. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15954. <displayName>Custom Instruction Master Translator</displayName>
  15955. <version>18.1</version>
  15956. </plugin>
  15957. <plugin>
  15958. <instanceCount>1</instanceCount>
  15959. <name>altera_customins_xconnect</name>
  15960. <type>com.altera.entityinterfaces.IElementClass</type>
  15961. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15962. <displayName>Custom Instruction Interconnect</displayName>
  15963. <version>18.1</version>
  15964. </plugin>
  15965. <plugin>
  15966. <instanceCount>1</instanceCount>
  15967. <name>altera_customins_slave_translator</name>
  15968. <type>com.altera.entityinterfaces.IElementClass</type>
  15969. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15970. <displayName>Custom Instruction Slave Translator</displayName>
  15971. <version>18.1</version>
  15972. </plugin>
  15973. <plugin>
  15974. <instanceCount>1</instanceCount>
  15975. <name>altera_mm_interconnect</name>
  15976. <type>com.altera.entityinterfaces.IElementClass</type>
  15977. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15978. <displayName>MM Interconnect</displayName>
  15979. <version>18.1</version>
  15980. </plugin>
  15981. <plugin>
  15982. <instanceCount>1</instanceCount>
  15983. <name>altera_irq_mapper</name>
  15984. <type>com.altera.entityinterfaces.IElementClass</type>
  15985. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15986. <displayName>Merlin IRQ Mapper</displayName>
  15987. <version>18.1</version>
  15988. </plugin>
  15989. <plugin>
  15990. <instanceCount>1</instanceCount>
  15991. <name>altera_reset_controller</name>
  15992. <type>com.altera.entityinterfaces.IElementClass</type>
  15993. <subtype>com.altera.entityinterfaces.IModule</subtype>
  15994. <displayName>Merlin Reset Controller</displayName>
  15995. <version>18.1</version>
  15996. </plugin>
  15997. <plugin>
  15998. <instanceCount>1</instanceCount>
  15999. <name>altera_reset_translator</name>
  16000. <type>com.altera.entityinterfaces.IElementClass</type>
  16001. <subtype>com.altera.entityinterfaces.IModule</subtype>
  16002. <displayName>Reset Translator</displayName>
  16003. <version>18.1</version>
  16004. </plugin>
  16005. <plugin>
  16006. <instanceCount>7</instanceCount>
  16007. <name>clock</name>
  16008. <type>com.altera.entityinterfaces.IElementClass</type>
  16009. <subtype>com.altera.entityinterfaces.IConnection</subtype>
  16010. <displayName>Clock Connection</displayName>
  16011. <version>18.1</version>
  16012. </plugin>
  16013. <plugin>
  16014. <instanceCount>4</instanceCount>
  16015. <name>nios_custom_instruction</name>
  16016. <type>com.altera.entityinterfaces.IElementClass</type>
  16017. <subtype>com.altera.entityinterfaces.IConnection</subtype>
  16018. <displayName>Nios II Custom Instruction Connection</displayName>
  16019. <version>18.1</version>
  16020. </plugin>
  16021. <plugin>
  16022. <instanceCount>9</instanceCount>
  16023. <name>avalon</name>
  16024. <type>com.altera.entityinterfaces.IElementClass</type>
  16025. <subtype>com.altera.entityinterfaces.IConnection</subtype>
  16026. <displayName>Avalon Memory Mapped Connection</displayName>
  16027. <version>18.1</version>
  16028. </plugin>
  16029. <plugin>
  16030. <instanceCount>4</instanceCount>
  16031. <name>clock</name>
  16032. <type>com.altera.entityinterfaces.IElementClass</type>
  16033. <subtype>com.altera.entityinterfaces.IConnection</subtype>
  16034. <displayName>Clock Connection</displayName>
  16035. <version>18.1</version>
  16036. </plugin>
  16037. <plugin>
  16038. <instanceCount>2</instanceCount>
  16039. <name>interrupt</name>
  16040. <type>com.altera.entityinterfaces.IElementClass</type>
  16041. <subtype>com.altera.entityinterfaces.IConnection</subtype>
  16042. <displayName>Interrupt Connection</displayName>
  16043. <version>18.1</version>
  16044. </plugin>
  16045. <plugin>
  16046. <instanceCount>18</instanceCount>
  16047. <name>reset</name>
  16048. <type>com.altera.entityinterfaces.IElementClass</type>
  16049. <subtype>com.altera.entityinterfaces.IConnection</subtype>
  16050. <displayName>Reset Connection</displayName>
  16051. <version>18.1</version>
  16052. </plugin>
  16053. <reportVersion>18.1 625</reportVersion>
  16054. <uniqueIdentifier>DC5360AEA9700000017643FDCA38</uniqueIdentifier>
  16055. </EnsembleReport>