nios2_uc.xml 531 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <deploy
  3. date="2020.11.19.16:37:55"
  4. outputDirectory="/home/sstudent/niosii_20201119/nios2_uc/">
  5. <perimeter>
  6. <parameter
  7. name="AUTO_GENERATION_ID"
  8. type="Integer"
  9. defaultValue="0"
  10. onHdl="0"
  11. affectsHdl="1" />
  12. <parameter
  13. name="AUTO_UNIQUE_ID"
  14. type="String"
  15. defaultValue=""
  16. onHdl="0"
  17. affectsHdl="1" />
  18. <parameter
  19. name="AUTO_DEVICE_FAMILY"
  20. type="String"
  21. defaultValue="Cyclone IV E"
  22. onHdl="0"
  23. affectsHdl="1" />
  24. <parameter
  25. name="AUTO_DEVICE"
  26. type="String"
  27. defaultValue="EP4CE115F29C7"
  28. onHdl="0"
  29. affectsHdl="1" />
  30. <parameter
  31. name="AUTO_DEVICE_SPEEDGRADE"
  32. type="String"
  33. defaultValue="7"
  34. onHdl="0"
  35. affectsHdl="1" />
  36. <parameter
  37. name="AUTO_CLK_CLOCK_RATE"
  38. type="Long"
  39. defaultValue="-1"
  40. onHdl="0"
  41. affectsHdl="1" />
  42. <parameter
  43. name="AUTO_CLK_CLOCK_DOMAIN"
  44. type="Integer"
  45. defaultValue="-1"
  46. onHdl="0"
  47. affectsHdl="1" />
  48. <parameter
  49. name="AUTO_CLK_RESET_DOMAIN"
  50. type="Integer"
  51. defaultValue="-1"
  52. onHdl="0"
  53. affectsHdl="1" />
  54. <interface name="clk" kind="clock" start="0">
  55. <property name="clockRate" value="50000000" />
  56. <property name="externallyDriven" value="false" />
  57. <property name="ptfSchematicName" value="" />
  58. <port name="clk_clk" direction="input" role="clk" width="1" />
  59. </interface>
  60. <interface name="pio_led_ext_conn" kind="conduit" start="0">
  61. <property name="associatedClock" value="" />
  62. <property name="associatedReset" value="" />
  63. <port
  64. name="pio_led_ext_conn_export"
  65. direction="output"
  66. role="export"
  67. width="32" />
  68. </interface>
  69. <interface name="reset" kind="reset" start="0">
  70. <property name="associatedClock" value="" />
  71. <property name="synchronousEdges" value="NONE" />
  72. <port name="reset_reset_n" direction="input" role="reset_n" width="1" />
  73. </interface>
  74. </perimeter>
  75. <entity
  76. path=""
  77. parameterizationKey="nios2_uc:1.0:AUTO_CLK_CLOCK_DOMAIN=-1,AUTO_CLK_CLOCK_RATE=-1,AUTO_CLK_RESET_DOMAIN=-1,AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_FAMILY=Cyclone IV E,AUTO_DEVICE_SPEEDGRADE=7,AUTO_GENERATION_ID=1605800269,AUTO_UNIQUE_ID=(clock_source:18.1:clockFrequency=50000000,clockFrequencyKnown=true,inputClockFrequency=0,resetSynchronousEdges=NONE)(altera_avalon_jtag_uart:18.1:allowMultipleConnections=false,avalonSpec=2.0,clkFreq=50000000,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8)(altera_nios2_gen2:18.1:AUTO_CLK_CLOCK_DOMAIN=1,AUTO_CLK_RESET_DOMAIN=1,AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_SPEEDGRADE=7,bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,customInstSlavesSystemInfo=&lt;info/&gt;,customInstSlavesSystemInfo_nios_a=&lt;info/&gt;,customInstSlavesSystemInfo_nios_b=&lt;info/&gt;,customInstSlavesSystemInfo_nios_c=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_size=0,data_master_paddr_base=0,data_master_paddr_size=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_size=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_size=0,instruction_master_paddr_base=0,instruction_master_paddr_size=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,mul_32_impl=2,mul_64_impl=0,mul_shift_choice=0,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchpredictiontype=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disable_tmr_inj=false,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportHostDebugPort=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_tmr_output_disable=false,setting_usedesignware=false,shift_rot_impl=1,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_size=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_size=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_size=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_size=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_size=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_size=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_size=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_size=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=50000000,EXPLICIT_CLOCK_RATE=0,NUM_CLOCK_OUTPUTS=1)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=1,AUTO_CLK_CLOCK_RATE=50000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=1)(altera_nios2_gen2_unit:18.1:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,cpu_name=cpu,customInstSlavesSystemInfo=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_top=0,data_master_paddr_base=0,data_master_paddr_top=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_top=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_top=0,instruction_master_paddr_base=0,instruction_master_paddr_top=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchPredictionType=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_usedesignware=false,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_top=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_top=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_top=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_top=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_top=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_top=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_top=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_top=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=)(clock:18.1:)(clock:18.1:)(reset:18.1:))(altera_avalon_onchip_memory2:18.1:allowInSystemMemoryContentEditor=false,autoInitializationFileName=nios2_uc_onchip_memory2,blockType=AUTO,copyInitFile=false,dataWidth=32,dataWidth2=32,derived_enableDiffWidth=false,derived_gui_ram_block_type=Automatic,derived_init_file_name=nios2_uc_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_addr_width2=16,derived_set_data_width=32,derived_set_data_width2=32,derived_singleClockOperation=false,deviceFamily=Cyclone IV E,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,enPRInitMode=false,enableDiffWidth=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=204800,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true)(altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=false,derived_has_irq=false,derived_has_out=true,derived_has_tri=false,derived_irq_type=NONE,direction=Output,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=32)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081028,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081010,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081028,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081010,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(interrupt:18.1:irqNumber=0)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)"
  78. instancePathKey="nios2_uc"
  79. kind="nios2_uc"
  80. version="1.0"
  81. name="nios2_uc">
  82. <parameter name="AUTO_CLK_CLOCK_RATE" value="-1" />
  83. <parameter name="AUTO_GENERATION_ID" value="1605800269" />
  84. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  85. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  86. <parameter name="AUTO_CLK_RESET_DOMAIN" value="-1" />
  87. <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="-1" />
  88. <parameter name="AUTO_UNIQUE_ID" value="" />
  89. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="7" />
  90. <generatedFiles>
  91. <file
  92. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/nios2_uc.vhd"
  93. type="VHDL" />
  94. </generatedFiles>
  95. <childGeneratedFiles>
  96. <file
  97. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_jtag_uart.v"
  98. type="VERILOG"
  99. attributes="" />
  100. <file
  101. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2.v"
  102. type="VERILOG" />
  103. <file
  104. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"
  105. type="MIF"
  106. attributes="" />
  107. <file
  108. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v"
  109. type="VERILOG"
  110. attributes="" />
  111. <file
  112. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"
  113. type="VERILOG"
  114. attributes="" />
  115. <file
  116. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"
  117. type="MIF"
  118. attributes="" />
  119. <file
  120. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"
  121. type="VERILOG"
  122. attributes="" />
  123. <file
  124. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"
  125. type="VERILOG"
  126. attributes="" />
  127. <file
  128. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"
  129. type="MIF"
  130. attributes="" />
  131. <file
  132. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.sdc"
  133. type="SDC"
  134. attributes="" />
  135. <file
  136. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v"
  137. type="VERILOG"
  138. attributes="" />
  139. <file
  140. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.hex"
  141. type="HEX"
  142. attributes="" />
  143. <file
  144. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.v"
  145. type="VERILOG"
  146. attributes="" />
  147. <file
  148. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_pio_LED.v"
  149. type="VERILOG"
  150. attributes="" />
  151. <file
  152. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0.v"
  153. type="VERILOG" />
  154. <file
  155. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv"
  156. type="SYSTEM_VERILOG"
  157. attributes="" />
  158. <file
  159. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv"
  160. type="SYSTEM_VERILOG"
  161. attributes="" />
  162. <file
  163. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv"
  164. type="SYSTEM_VERILOG"
  165. attributes="" />
  166. <file
  167. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv"
  168. type="SYSTEM_VERILOG"
  169. attributes="" />
  170. <file
  171. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
  172. type="SYSTEM_VERILOG"
  173. attributes="" />
  174. <file
  175. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_avalon_sc_fifo.v"
  176. type="VERILOG"
  177. attributes="" />
  178. <file
  179. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv"
  180. type="SYSTEM_VERILOG"
  181. attributes="" />
  182. <file
  183. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv"
  184. type="SYSTEM_VERILOG"
  185. attributes="" />
  186. <file
  187. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv"
  188. type="SYSTEM_VERILOG"
  189. attributes="" />
  190. <file
  191. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv"
  192. type="SYSTEM_VERILOG"
  193. attributes="" />
  194. <file
  195. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  196. type="SYSTEM_VERILOG"
  197. attributes="" />
  198. <file
  199. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv"
  200. type="SYSTEM_VERILOG"
  201. attributes="" />
  202. <file
  203. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv"
  204. type="SYSTEM_VERILOG"
  205. attributes="" />
  206. <file
  207. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  208. type="SYSTEM_VERILOG"
  209. attributes="" />
  210. <file
  211. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v"
  212. type="VERILOG" />
  213. <file
  214. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  215. type="SYSTEM_VERILOG"
  216. attributes="" />
  217. <file
  218. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_irq_mapper.sv"
  219. type="SYSTEM_VERILOG"
  220. attributes="" />
  221. <file
  222. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_reset_controller.v"
  223. type="VERILOG"
  224. attributes="" />
  225. <file
  226. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_reset_synchronizer.v"
  227. type="VERILOG"
  228. attributes="" />
  229. <file
  230. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_reset_controller.sdc"
  231. type="SDC"
  232. attributes="" />
  233. </childGeneratedFiles>
  234. <sourceFiles>
  235. <file path="/home/sstudent/niosii_20201119/nios2_uc.qsys" />
  236. </sourceFiles>
  237. <childSourceFiles>
  238. <file
  239. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
  240. <file
  241. path="/opt/intelFPGA/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" />
  242. <file
  243. path="/opt/intelFPGA/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" />
  244. <file
  245. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
  246. <file
  247. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  248. <file
  249. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
  250. <file
  251. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  252. <file
  253. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  254. <file
  255. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  256. <file
  257. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  258. <file
  259. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
  260. <file
  261. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  262. <file
  263. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  264. <file
  265. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  266. <file
  267. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  268. <file
  269. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  270. <file
  271. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  272. <file
  273. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  274. <file
  275. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" />
  276. <file
  277. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  278. <file
  279. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
  280. <file
  281. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
  282. </childSourceFiles>
  283. <messages>
  284. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:nios2_uc "nios2_uc"</message>
  285. <message level="Progress" culprit="min"></message>
  286. <message level="Progress" culprit="max"></message>
  287. <message level="Progress" culprit="current"></message>
  288. <message level="Debug">Transform: CustomInstructionTransform</message>
  289. <message level="Debug">No custom instruction connections, skipping transform </message>
  290. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>5</b> modules, <b>21</b> connections]]></message>
  291. <message level="Debug">Transform: MMTransform</message>
  292. <message level="Debug">Transform: InitialInterconnectTransform</message>
  293. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>5</b> modules, <b>20</b> connections]]></message>
  294. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  295. <message level="Debug">Transform: DefaultSlaveTransform</message>
  296. <message level="Debug">Transform: TranslatorTransform</message>
  297. <message level="Progress" culprit="min"></message>
  298. <message level="Progress" culprit="max"></message>
  299. <message level="Progress" culprit="current"></message>
  300. <message level="Progress" culprit="min"></message>
  301. <message level="Progress" culprit="max"></message>
  302. <message level="Progress" culprit="current"></message>
  303. <message level="Progress" culprit="min"></message>
  304. <message level="Progress" culprit="max"></message>
  305. <message level="Progress" culprit="current"></message>
  306. <message level="Progress" culprit="min"></message>
  307. <message level="Progress" culprit="max"></message>
  308. <message level="Progress" culprit="current"></message>
  309. <message level="Progress" culprit="min"></message>
  310. <message level="Progress" culprit="max"></message>
  311. <message level="Progress" culprit="current"></message>
  312. <message level="Progress" culprit="min"></message>
  313. <message level="Progress" culprit="max"></message>
  314. <message level="Progress" culprit="current"></message>
  315. <message level="Debug" culprit="merlin_translator_transform"><![CDATA[After transform: <b>11</b> modules, <b>44</b> connections]]></message>
  316. <message level="Debug">Transform: IDPadTransform</message>
  317. <message level="Debug">Transform: DomainTransform</message>
  318. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2.data_master and nios2_data_master_translator.avalon_anti_master_0</message>
  319. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2.instruction_master and nios2_instruction_master_translator.avalon_anti_master_0</message>
  320. <message level="Progress" culprit="min"></message>
  321. <message level="Progress" culprit="max"></message>
  322. <message level="Progress" culprit="current"></message>
  323. <message level="Progress" culprit="min"></message>
  324. <message level="Progress" culprit="max"></message>
  325. <message level="Progress" culprit="current"></message>
  326. <message level="Progress" culprit="min"></message>
  327. <message level="Progress" culprit="max"></message>
  328. <message level="Progress" culprit="current"></message>
  329. <message level="Progress" culprit="min"></message>
  330. <message level="Progress" culprit="max"></message>
  331. <message level="Progress" culprit="current"></message>
  332. <message level="Progress" culprit="min"></message>
  333. <message level="Progress" culprit="max"></message>
  334. <message level="Progress" culprit="current"></message>
  335. <message level="Progress" culprit="min"></message>
  336. <message level="Progress" culprit="max"></message>
  337. <message level="Progress" culprit="current"></message>
  338. <message level="Progress" culprit="min"></message>
  339. <message level="Progress" culprit="max"></message>
  340. <message level="Progress" culprit="current"></message>
  341. <message level="Progress" culprit="min"></message>
  342. <message level="Progress" culprit="max"></message>
  343. <message level="Progress" culprit="current"></message>
  344. <message level="Progress" culprit="min"></message>
  345. <message level="Progress" culprit="max"></message>
  346. <message level="Progress" culprit="current"></message>
  347. <message level="Progress" culprit="min"></message>
  348. <message level="Progress" culprit="max"></message>
  349. <message level="Progress" culprit="current"></message>
  350. <message level="Progress" culprit="min"></message>
  351. <message level="Progress" culprit="max"></message>
  352. <message level="Progress" culprit="current"></message>
  353. <message level="Progress" culprit="min"></message>
  354. <message level="Progress" culprit="max"></message>
  355. <message level="Progress" culprit="current"></message>
  356. <message level="Progress" culprit="min"></message>
  357. <message level="Progress" culprit="max"></message>
  358. <message level="Progress" culprit="current"></message>
  359. <message level="Progress" culprit="min"></message>
  360. <message level="Progress" culprit="max"></message>
  361. <message level="Progress" culprit="current"></message>
  362. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave</message>
  363. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2_debug_mem_slave_translator.avalon_anti_slave_0 and nios2.debug_mem_slave</message>
  364. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces onchip_memory2_s1_translator.avalon_anti_slave_0 and onchip_memory2.s1</message>
  365. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces pio_LED_s1_translator.avalon_anti_slave_0 and pio_LED.s1</message>
  366. <message level="Debug" culprit="merlin_domain_transform"><![CDATA[After transform: <b>22</b> modules, <b>114</b> connections]]></message>
  367. <message level="Debug">Transform: RouterTransform</message>
  368. <message level="Progress" culprit="min"></message>
  369. <message level="Progress" culprit="max"></message>
  370. <message level="Progress" culprit="current"></message>
  371. <message level="Progress" culprit="min"></message>
  372. <message level="Progress" culprit="max"></message>
  373. <message level="Progress" culprit="current"></message>
  374. <message level="Progress" culprit="min"></message>
  375. <message level="Progress" culprit="max"></message>
  376. <message level="Progress" culprit="current"></message>
  377. <message level="Progress" culprit="min"></message>
  378. <message level="Progress" culprit="max"></message>
  379. <message level="Progress" culprit="current"></message>
  380. <message level="Progress" culprit="min"></message>
  381. <message level="Progress" culprit="max"></message>
  382. <message level="Progress" culprit="current"></message>
  383. <message level="Progress" culprit="min"></message>
  384. <message level="Progress" culprit="max"></message>
  385. <message level="Progress" culprit="current"></message>
  386. <message level="Debug" culprit="merlin_router_transform"><![CDATA[After transform: <b>28</b> modules, <b>138</b> connections]]></message>
  387. <message level="Debug">Transform: TrafficLimiterTransform</message>
  388. <message level="Debug">Transform: BurstTransform</message>
  389. <message level="Debug">Transform: TreeTransform</message>
  390. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  391. <message level="Progress" culprit="min"></message>
  392. <message level="Progress" culprit="max"></message>
  393. <message level="Progress" culprit="current"></message>
  394. <message level="Progress" culprit="min"></message>
  395. <message level="Progress" culprit="max"></message>
  396. <message level="Progress" culprit="current"></message>
  397. <message level="Progress" culprit="min"></message>
  398. <message level="Progress" culprit="max"></message>
  399. <message level="Progress" culprit="current"></message>
  400. <message level="Progress" culprit="min"></message>
  401. <message level="Progress" culprit="max"></message>
  402. <message level="Progress" culprit="current"></message>
  403. <message level="Progress" culprit="min"></message>
  404. <message level="Progress" culprit="max"></message>
  405. <message level="Progress" culprit="current"></message>
  406. <message level="Progress" culprit="min"></message>
  407. <message level="Progress" culprit="max"></message>
  408. <message level="Progress" culprit="current"></message>
  409. <message level="Progress" culprit="min"></message>
  410. <message level="Progress" culprit="max"></message>
  411. <message level="Progress" culprit="current"></message>
  412. <message level="Progress" culprit="min"></message>
  413. <message level="Progress" culprit="max"></message>
  414. <message level="Progress" culprit="current"></message>
  415. <message level="Progress" culprit="min"></message>
  416. <message level="Progress" culprit="max"></message>
  417. <message level="Progress" culprit="current"></message>
  418. <message level="Progress" culprit="min"></message>
  419. <message level="Progress" culprit="max"></message>
  420. <message level="Progress" culprit="current"></message>
  421. <message level="Progress" culprit="min"></message>
  422. <message level="Progress" culprit="max"></message>
  423. <message level="Progress" culprit="current"></message>
  424. <message level="Progress" culprit="min"></message>
  425. <message level="Progress" culprit="max"></message>
  426. <message level="Progress" culprit="current"></message>
  427. <message level="Debug" culprit="merlin_network_to_switch_transform"><![CDATA[After transform: <b>39</b> modules, <b>172</b> connections]]></message>
  428. <message level="Debug">Transform: WidthTransform</message>
  429. <message level="Debug">Transform: RouterTableTransform</message>
  430. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  431. <message level="Debug">Transform: ClockCrossingTransform</message>
  432. <message level="Debug">Transform: PipelineTransform</message>
  433. <message level="Debug">Transform: SpotPipelineTransform</message>
  434. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  435. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  436. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  437. <message level="Progress" culprit="min"></message>
  438. <message level="Progress" culprit="max"></message>
  439. <message level="Progress" culprit="current"></message>
  440. <message level="Progress" culprit="min"></message>
  441. <message level="Progress" culprit="max"></message>
  442. <message level="Progress" culprit="current"></message>
  443. <message level="Debug" culprit="merlin_clock_and_reset_bridge_transform"><![CDATA[After transform: <b>41</b> modules, <b>212</b> connections]]></message>
  444. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  445. <message level="Debug">Transform: HierarchyTransform</message>
  446. <message level="Progress" culprit="min"></message>
  447. <message level="Progress" culprit="max"></message>
  448. <message level="Progress" culprit="current"></message>
  449. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>6</b> modules, <b>22</b> connections]]></message>
  450. <message level="Debug" culprit="merlin_mm_transform"><![CDATA[After transform: <b>6</b> modules, <b>22</b> connections]]></message>
  451. <message level="Debug">Transform: InterruptMapperTransform</message>
  452. <message level="Progress" culprit="min"></message>
  453. <message level="Progress" culprit="max"></message>
  454. <message level="Progress" culprit="current"></message>
  455. <message level="Debug" culprit="merlin_interrupt_mapper_transform"><![CDATA[After transform: <b>7</b> modules, <b>26</b> connections]]></message>
  456. <message level="Debug">Transform: InterruptSyncTransform</message>
  457. <message level="Debug">Transform: InterruptFanoutTransform</message>
  458. <message level="Debug">Transform: AvalonStreamingTransform</message>
  459. <message level="Debug">Transform: ResetAdaptation</message>
  460. <message level="Progress" culprit="min"></message>
  461. <message level="Progress" culprit="max"></message>
  462. <message level="Progress" culprit="current"></message>
  463. <message level="Progress" culprit="min"></message>
  464. <message level="Progress" culprit="max"></message>
  465. <message level="Progress" culprit="current"></message>
  466. <message level="Debug" culprit="reset_adaptation_transform"><![CDATA[After transform: <b>9</b> modules, <b>28</b> connections]]></message>
  467. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_jtag_uart</b> "<b>submodules/nios2_uc_jtag_uart</b>"]]></message>
  468. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_nios2_gen2</b> "<b>submodules/nios2_uc_nios2</b>"]]></message>
  469. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_onchip_memory2</b> "<b>submodules/nios2_uc_onchip_memory2</b>"]]></message>
  470. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_pio</b> "<b>submodules/nios2_uc_pio_LED</b>"]]></message>
  471. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_mm_interconnect</b> "<b>submodules/nios2_uc_mm_interconnect_0</b>"]]></message>
  472. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_irq_mapper</b> "<b>submodules/nios2_uc_irq_mapper</b>"]]></message>
  473. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_reset_controller</b> "<b>submodules/altera_reset_controller</b>"]]></message>
  474. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_avalon_jtag_uart "submodules/nios2_uc_jtag_uart"</message>
  475. <message level="Info" culprit="jtag_uart">Starting RTL generation for module 'nios2_uc_jtag_uart'</message>
  476. <message level="Info" culprit="jtag_uart"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64/perl/bin/perl -I /opt/intelFPGA/18.1/quartus/linux64/perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios2_uc_jtag_uart --dir=/tmp/alt8585_5427978115204221252.dir/0002_jtag_uart_gen/ --quartus_dir=/opt/intelFPGA/18.1/quartus --verilog --config=/tmp/alt8585_5427978115204221252.dir/0002_jtag_uart_gen//nios2_uc_jtag_uart_component_configuration.pl --do_build_sim=0 ]</message>
  477. <message level="Info" culprit="jtag_uart">Done RTL generation for module 'nios2_uc_jtag_uart'</message>
  478. <message level="Info" culprit="jtag_uart"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_jtag_uart</b> "<b>jtag_uart</b>"]]></message>
  479. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_nios2_gen2 "submodules/nios2_uc_nios2"</message>
  480. <message level="Progress" culprit="min"></message>
  481. <message level="Progress" culprit="max"></message>
  482. <message level="Progress" culprit="current"></message>
  483. <message level="Debug">Transform: CustomInstructionTransform</message>
  484. <message level="Debug">No custom instruction connections, skipping transform </message>
  485. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  486. <message level="Debug">Transform: MMTransform</message>
  487. <message level="Debug">Transform: InterruptMapperTransform</message>
  488. <message level="Debug">Transform: InterruptSyncTransform</message>
  489. <message level="Debug">Transform: InterruptFanoutTransform</message>
  490. <message level="Debug">Transform: AvalonStreamingTransform</message>
  491. <message level="Debug">Transform: ResetAdaptation</message>
  492. <message level="Debug" culprit="nios2"><![CDATA["<b>nios2</b>" reuses <b>altera_nios2_gen2_unit</b> "<b>submodules/nios2_uc_nios2_cpu</b>"]]></message>
  493. <message level="Info" culprit="nios2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_nios2_gen2</b> "<b>nios2</b>"]]></message>
  494. <message level="Debug" culprit="nios2_uc">queue size: 38 starting:altera_nios2_gen2_unit "submodules/nios2_uc_nios2_cpu"</message>
  495. <message level="Info" culprit="cpu">Starting RTL generation for module 'nios2_uc_nios2_cpu'</message>
  496. <message level="Info" culprit="cpu"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64//eperlcmd -I /opt/intelFPGA/18.1/quartus/linux64//perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=nios2_uc_nios2_cpu --dir=/tmp/alt8585_5427978115204221252.dir/0007_cpu_gen/ --quartus_bindir=/opt/intelFPGA/18.1/quartus/linux64/ --verilog --config=/tmp/alt8585_5427978115204221252.dir/0007_cpu_gen//nios2_uc_nios2_cpu_processor_configuration.pl --do_build_sim=0 ]</message>
  497. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Starting Nios II generation</message>
  498. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Checking for plaintext license.</message>
  499. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Couldn't query license setup in Quartus directory /opt/intelFPGA/18.1/quartus/linux64/</message>
  500. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Defaulting to contents of LM_LICENSE_FILE environment variable</message>
  501. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) LM_LICENSE_FILE environment variable is empty</message>
  502. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Plaintext license not found.</message>
  503. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) No license required to generate encrypted Nios II/e.</message>
  504. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Elaborating CPU configuration settings</message>
  505. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Creating all objects for CPU</message>
  506. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Generating RTL from CPU objects</message>
  507. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Creating plain-text RTL</message>
  508. <message level="Info" culprit="cpu"># 2020.11.19 16:37:55 (*) Done Nios II generation</message>
  509. <message level="Info" culprit="cpu">Done RTL generation for module 'nios2_uc_nios2_cpu'</message>
  510. <message level="Info" culprit="cpu"><![CDATA["<b>nios2</b>" instantiated <b>altera_nios2_gen2_unit</b> "<b>cpu</b>"]]></message>
  511. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_avalon_onchip_memory2 "submodules/nios2_uc_onchip_memory2"</message>
  512. <message level="Info" culprit="onchip_memory2">Starting RTL generation for module 'nios2_uc_onchip_memory2'</message>
  513. <message level="Info" culprit="onchip_memory2"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64/perl/bin/perl -I /opt/intelFPGA/18.1/quartus/linux64/perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios2_uc_onchip_memory2 --dir=/tmp/alt8585_5427978115204221252.dir/0003_onchip_memory2_gen/ --quartus_dir=/opt/intelFPGA/18.1/quartus --verilog --config=/tmp/alt8585_5427978115204221252.dir/0003_onchip_memory2_gen//nios2_uc_onchip_memory2_component_configuration.pl --do_build_sim=0 ]</message>
  514. <message level="Info" culprit="onchip_memory2">Done RTL generation for module 'nios2_uc_onchip_memory2'</message>
  515. <message level="Info" culprit="onchip_memory2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_onchip_memory2</b> "<b>onchip_memory2</b>"]]></message>
  516. <message level="Debug" culprit="nios2_uc">queue size: 4 starting:altera_avalon_pio "submodules/nios2_uc_pio_LED"</message>
  517. <message level="Info" culprit="pio_LED">Starting RTL generation for module 'nios2_uc_pio_LED'</message>
  518. <message level="Info" culprit="pio_LED"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64/perl/bin/perl -I /opt/intelFPGA/18.1/quartus/linux64/perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_LED --dir=/tmp/alt8585_5427978115204221252.dir/0004_pio_LED_gen/ --quartus_dir=/opt/intelFPGA/18.1/quartus --verilog --config=/tmp/alt8585_5427978115204221252.dir/0004_pio_LED_gen//nios2_uc_pio_LED_component_configuration.pl --do_build_sim=0 ]</message>
  519. <message level="Info" culprit="pio_LED">Done RTL generation for module 'nios2_uc_pio_LED'</message>
  520. <message level="Info" culprit="pio_LED"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_LED</b>"]]></message>
  521. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_mm_interconnect "submodules/nios2_uc_mm_interconnect_0"</message>
  522. <message level="Progress" culprit="min"></message>
  523. <message level="Progress" culprit="max"></message>
  524. <message level="Progress" culprit="current"></message>
  525. <message level="Debug">Transform: CustomInstructionTransform</message>
  526. <message level="Debug">No custom instruction connections, skipping transform </message>
  527. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  528. <message level="Debug">Transform: MMTransform</message>
  529. <message level="Debug">Transform: InitialInterconnectTransform</message>
  530. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  531. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  532. <message level="Debug">Transform: DefaultSlaveTransform</message>
  533. <message level="Debug">Transform: TranslatorTransform</message>
  534. <message level="Debug">No Avalon connections, skipping transform </message>
  535. <message level="Debug">Transform: IDPadTransform</message>
  536. <message level="Debug">Transform: DomainTransform</message>
  537. <message level="Debug">Transform: RouterTransform</message>
  538. <message level="Debug">Transform: TrafficLimiterTransform</message>
  539. <message level="Debug">Transform: BurstTransform</message>
  540. <message level="Debug">Transform: TreeTransform</message>
  541. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  542. <message level="Debug">Transform: WidthTransform</message>
  543. <message level="Debug">Transform: RouterTableTransform</message>
  544. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  545. <message level="Debug">Transform: ClockCrossingTransform</message>
  546. <message level="Debug">Transform: PipelineTransform</message>
  547. <message level="Debug">Transform: SpotPipelineTransform</message>
  548. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  549. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  550. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  551. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  552. <message level="Debug">Transform: HierarchyTransform</message>
  553. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  554. <message level="Debug">Transform: InitialInterconnectTransform</message>
  555. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  556. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  557. <message level="Debug">Transform: DefaultSlaveTransform</message>
  558. <message level="Debug">Transform: TranslatorTransform</message>
  559. <message level="Debug">No Avalon connections, skipping transform </message>
  560. <message level="Debug">Transform: IDPadTransform</message>
  561. <message level="Debug">Transform: DomainTransform</message>
  562. <message level="Debug">Transform: RouterTransform</message>
  563. <message level="Debug">Transform: TrafficLimiterTransform</message>
  564. <message level="Debug">Transform: BurstTransform</message>
  565. <message level="Debug">Transform: TreeTransform</message>
  566. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  567. <message level="Debug">Transform: WidthTransform</message>
  568. <message level="Debug">Transform: RouterTableTransform</message>
  569. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  570. <message level="Debug">Transform: ClockCrossingTransform</message>
  571. <message level="Debug">Transform: PipelineTransform</message>
  572. <message level="Debug">Transform: SpotPipelineTransform</message>
  573. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  574. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  575. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  576. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  577. <message level="Debug">Transform: HierarchyTransform</message>
  578. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  579. <message level="Debug">Transform: InitialInterconnectTransform</message>
  580. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  581. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  582. <message level="Debug">Transform: DefaultSlaveTransform</message>
  583. <message level="Debug">Transform: TranslatorTransform</message>
  584. <message level="Debug">No Avalon connections, skipping transform </message>
  585. <message level="Debug">Transform: IDPadTransform</message>
  586. <message level="Debug">Transform: DomainTransform</message>
  587. <message level="Debug">Transform: RouterTransform</message>
  588. <message level="Debug">Transform: TrafficLimiterTransform</message>
  589. <message level="Debug">Transform: BurstTransform</message>
  590. <message level="Debug">Transform: TreeTransform</message>
  591. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  592. <message level="Debug">Transform: WidthTransform</message>
  593. <message level="Debug">Transform: RouterTableTransform</message>
  594. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  595. <message level="Debug">Transform: ClockCrossingTransform</message>
  596. <message level="Debug">Transform: PipelineTransform</message>
  597. <message level="Debug">Transform: SpotPipelineTransform</message>
  598. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  599. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  600. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  601. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  602. <message level="Debug">Transform: HierarchyTransform</message>
  603. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  604. <message level="Debug">Transform: InitialInterconnectTransform</message>
  605. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  606. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  607. <message level="Debug">Transform: DefaultSlaveTransform</message>
  608. <message level="Debug">Transform: TranslatorTransform</message>
  609. <message level="Debug">No Avalon connections, skipping transform </message>
  610. <message level="Debug">Transform: IDPadTransform</message>
  611. <message level="Debug">Transform: DomainTransform</message>
  612. <message level="Debug">Transform: RouterTransform</message>
  613. <message level="Debug">Transform: TrafficLimiterTransform</message>
  614. <message level="Debug">Transform: BurstTransform</message>
  615. <message level="Debug">Transform: TreeTransform</message>
  616. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  617. <message level="Debug">Transform: WidthTransform</message>
  618. <message level="Debug">Transform: RouterTableTransform</message>
  619. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  620. <message level="Debug">Transform: ClockCrossingTransform</message>
  621. <message level="Debug">Transform: PipelineTransform</message>
  622. <message level="Debug">Transform: SpotPipelineTransform</message>
  623. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  624. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  625. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  626. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  627. <message level="Debug">Transform: HierarchyTransform</message>
  628. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  629. <message level="Debug">Transform: InitialInterconnectTransform</message>
  630. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  631. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  632. <message level="Debug">Transform: DefaultSlaveTransform</message>
  633. <message level="Debug">Transform: TranslatorTransform</message>
  634. <message level="Debug">No Avalon connections, skipping transform </message>
  635. <message level="Debug">Transform: IDPadTransform</message>
  636. <message level="Debug">Transform: DomainTransform</message>
  637. <message level="Debug">Transform: RouterTransform</message>
  638. <message level="Debug">Transform: TrafficLimiterTransform</message>
  639. <message level="Debug">Transform: BurstTransform</message>
  640. <message level="Debug">Transform: TreeTransform</message>
  641. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  642. <message level="Debug">Transform: WidthTransform</message>
  643. <message level="Debug">Transform: RouterTableTransform</message>
  644. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  645. <message level="Debug">Transform: ClockCrossingTransform</message>
  646. <message level="Debug">Transform: PipelineTransform</message>
  647. <message level="Debug">Transform: SpotPipelineTransform</message>
  648. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  649. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  650. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  651. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  652. <message level="Debug">Transform: HierarchyTransform</message>
  653. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  654. <message level="Debug">Transform: InitialInterconnectTransform</message>
  655. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  656. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  657. <message level="Debug">Transform: DefaultSlaveTransform</message>
  658. <message level="Debug">Transform: TranslatorTransform</message>
  659. <message level="Debug">No Avalon connections, skipping transform </message>
  660. <message level="Debug">Transform: IDPadTransform</message>
  661. <message level="Debug">Transform: DomainTransform</message>
  662. <message level="Debug">Transform: RouterTransform</message>
  663. <message level="Debug">Transform: TrafficLimiterTransform</message>
  664. <message level="Debug">Transform: BurstTransform</message>
  665. <message level="Debug">Transform: TreeTransform</message>
  666. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  667. <message level="Debug">Transform: WidthTransform</message>
  668. <message level="Debug">Transform: RouterTableTransform</message>
  669. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  670. <message level="Debug">Transform: ClockCrossingTransform</message>
  671. <message level="Debug">Transform: PipelineTransform</message>
  672. <message level="Debug">Transform: SpotPipelineTransform</message>
  673. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  674. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  675. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  676. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  677. <message level="Debug">Transform: HierarchyTransform</message>
  678. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  679. <message level="Debug">Transform: InterruptMapperTransform</message>
  680. <message level="Debug">Transform: InterruptSyncTransform</message>
  681. <message level="Debug">Transform: InterruptFanoutTransform</message>
  682. <message level="Debug">Transform: AvalonStreamingTransform</message>
  683. <message level="Progress" culprit="min"></message>
  684. <message level="Progress" culprit="max"></message>
  685. <message level="Progress" culprit="current"></message>
  686. <message level="Info" culprit="avalon_st_adapter">Inserting error_adapter: error_adapter_0</message>
  687. <message level="Debug" culprit="avalon_st_adapter.clk_bridge_0">Timing: ELA:1/0.000s</message>
  688. <message level="Debug" culprit="avalon_st_adapter.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  689. <message level="Debug" culprit="avalon_st_adapter.error_adapter_0">Timing: ELA:1/0.004s</message>
  690. <message level="Debug" culprit="avalon_st_adapter">Timing: COM:3/0.025s/0.032s</message>
  691. <message level="Progress" culprit="min"></message>
  692. <message level="Progress" culprit="max"></message>
  693. <message level="Progress" culprit="current"></message>
  694. <message level="Info" culprit="avalon_st_adapter_001">Inserting error_adapter: error_adapter_0</message>
  695. <message level="Debug" culprit="avalon_st_adapter_001.clk_bridge_0">Timing: ELA:1/0.000s</message>
  696. <message level="Debug" culprit="avalon_st_adapter_001.rst_bridge_0">Timing: ELA:2/0.000s/0.000s</message>
  697. <message level="Debug" culprit="avalon_st_adapter_001.error_adapter_0">Timing: ELA:1/0.004s</message>
  698. <message level="Debug" culprit="avalon_st_adapter_001">Timing: COM:3/0.010s/0.011s</message>
  699. <message level="Progress" culprit="min"></message>
  700. <message level="Progress" culprit="max"></message>
  701. <message level="Progress" culprit="current"></message>
  702. <message level="Info" culprit="avalon_st_adapter_002">Inserting error_adapter: error_adapter_0</message>
  703. <message level="Debug" culprit="avalon_st_adapter_002.clk_bridge_0">Timing: ELA:1/0.000s</message>
  704. <message level="Debug" culprit="avalon_st_adapter_002.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  705. <message level="Debug" culprit="avalon_st_adapter_002.error_adapter_0">Timing: ELA:1/0.004s</message>
  706. <message level="Debug" culprit="avalon_st_adapter_002">Timing: COM:3/0.013s/0.017s</message>
  707. <message level="Progress" culprit="min"></message>
  708. <message level="Progress" culprit="max"></message>
  709. <message level="Progress" culprit="current"></message>
  710. <message level="Info" culprit="avalon_st_adapter_003">Inserting error_adapter: error_adapter_0</message>
  711. <message level="Debug" culprit="avalon_st_adapter_003.clk_bridge_0">Timing: ELA:1/0.000s</message>
  712. <message level="Debug" culprit="avalon_st_adapter_003.rst_bridge_0">Timing: ELA:2/0.000s/0.000s</message>
  713. <message level="Debug" culprit="avalon_st_adapter_003.error_adapter_0">Timing: ELA:1/0.004s</message>
  714. <message level="Debug" culprit="avalon_st_adapter_003">Timing: COM:3/0.010s/0.010s</message>
  715. <message
  716. level="Debug"
  717. culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform"><![CDATA[After transform: <b>40</b> modules, <b>133</b> connections]]></message>
  718. <message level="Debug">Transform: ResetAdaptation</message>
  719. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  720. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  721. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  722. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  723. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  724. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  725. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  726. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  727. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  728. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  729. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  730. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  731. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  732. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  733. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  734. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  735. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  736. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  737. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  738. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  739. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  740. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  741. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  742. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  743. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  744. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  745. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  746. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  747. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  748. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  749. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  750. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  751. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  752. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  753. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  754. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  755. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  756. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  757. <message level="Info" culprit="mm_interconnect_0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_mm_interconnect</b> "<b>mm_interconnect_0</b>"]]></message>
  758. <message level="Debug" culprit="nios2_uc">queue size: 37 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
  759. <message level="Info" culprit="nios2_data_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_data_master_translator</b>"]]></message>
  760. <message level="Debug" culprit="nios2_uc">queue size: 35 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
  761. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>jtag_uart_avalon_jtag_slave_translator</b>"]]></message>
  762. <message level="Debug" culprit="nios2_uc">queue size: 31 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
  763. <message level="Info" culprit="nios2_data_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_data_master_agent</b>"]]></message>
  764. <message level="Debug" culprit="nios2_uc">queue size: 29 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
  765. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>jtag_uart_avalon_jtag_slave_agent</b>"]]></message>
  766. <message level="Debug" culprit="nios2_uc">queue size: 28 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
  767. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>jtag_uart_avalon_jtag_slave_agent_rsp_fifo</b>"]]></message>
  768. <message level="Debug" culprit="nios2_uc">queue size: 21 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router"</message>
  769. <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  770. <message level="Debug" culprit="nios2_uc">queue size: 19 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router_002"</message>
  771. <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  772. <message level="Debug" culprit="nios2_uc">queue size: 15 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_demux"</message>
  773. <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  774. <message level="Debug" culprit="nios2_uc">queue size: 13 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_mux"</message>
  775. <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  776. <message level="Debug" culprit="nios2_uc">queue size: 9 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_demux"</message>
  777. <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  778. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_mux"</message>
  779. <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
  780. <message level="Info"><![CDATA[Reusing file <b>/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  781. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_avalon_st_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter"</message>
  782. <message level="Progress" culprit="min"></message>
  783. <message level="Progress" culprit="max"></message>
  784. <message level="Progress" culprit="current"></message>
  785. <message level="Debug">Transform: CustomInstructionTransform</message>
  786. <message level="Debug">No custom instruction connections, skipping transform </message>
  787. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  788. <message level="Debug">Transform: MMTransform</message>
  789. <message level="Debug">Transform: InterruptMapperTransform</message>
  790. <message level="Debug">Transform: InterruptSyncTransform</message>
  791. <message level="Debug">Transform: InterruptFanoutTransform</message>
  792. <message level="Debug">Transform: AvalonStreamingTransform</message>
  793. <message level="Debug">Transform: ResetAdaptation</message>
  794. <message level="Debug" culprit="avalon_st_adapter"><![CDATA["<b>avalon_st_adapter</b>" reuses <b>error_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0</b>"]]></message>
  795. <message level="Info" culprit="avalon_st_adapter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_st_adapter</b> "<b>avalon_st_adapter</b>"]]></message>
  796. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  797. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  798. <message level="Debug" culprit="nios2_uc">queue size: 40 starting:altera_irq_mapper "submodules/nios2_uc_irq_mapper"</message>
  799. <message level="Info" culprit="irq_mapper"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_irq_mapper</b> "<b>irq_mapper</b>"]]></message>
  800. <message level="Debug" culprit="nios2_uc">queue size: 39 starting:altera_reset_controller "submodules/altera_reset_controller"</message>
  801. <message level="Info" culprit="rst_controller"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_reset_controller</b> "<b>rst_controller</b>"]]></message>
  802. </messages>
  803. </entity>
  804. <entity
  805. path="submodules/"
  806. parameterizationKey="altera_avalon_jtag_uart:18.1:allowMultipleConnections=false,avalonSpec=2.0,clkFreq=50000000,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8"
  807. instancePathKey="nios2_uc:.:jtag_uart"
  808. kind="altera_avalon_jtag_uart"
  809. version="18.1"
  810. name="nios2_uc_jtag_uart">
  811. <parameter name="readBufferDepth" value="64" />
  812. <parameter name="clkFreq" value="50000000" />
  813. <parameter name="useRelativePathForSimFile" value="false" />
  814. <parameter name="hubInstanceID" value="0" />
  815. <parameter name="enableInteractiveInput" value="false" />
  816. <parameter name="avalonSpec" value="2.0" />
  817. <parameter name="simInputCharacterStream" value="" />
  818. <parameter name="readIRQThreshold" value="8" />
  819. <parameter name="useRegistersForWriteBuffer" value="false" />
  820. <parameter name="useRegistersForReadBuffer" value="false" />
  821. <parameter name="simInteractiveOptions" value="NO_INTERACTIVE_WINDOWS" />
  822. <parameter name="enableInteractiveOutput" value="false" />
  823. <parameter name="writeIRQThreshold" value="8" />
  824. <parameter name="writeBufferDepth" value="64" />
  825. <parameter name="allowMultipleConnections" value="false" />
  826. <parameter name="legacySignalAllow" value="false" />
  827. <generatedFiles>
  828. <file
  829. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_jtag_uart.v"
  830. type="VERILOG"
  831. attributes="" />
  832. </generatedFiles>
  833. <childGeneratedFiles/>
  834. <sourceFiles>
  835. <file
  836. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
  837. </sourceFiles>
  838. <childSourceFiles/>
  839. <instantiator instantiator="nios2_uc" as="jtag_uart" />
  840. <messages>
  841. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_avalon_jtag_uart "submodules/nios2_uc_jtag_uart"</message>
  842. <message level="Info" culprit="jtag_uart">Starting RTL generation for module 'nios2_uc_jtag_uart'</message>
  843. <message level="Info" culprit="jtag_uart"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64/perl/bin/perl -I /opt/intelFPGA/18.1/quartus/linux64/perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios2_uc_jtag_uart --dir=/tmp/alt8585_5427978115204221252.dir/0002_jtag_uart_gen/ --quartus_dir=/opt/intelFPGA/18.1/quartus --verilog --config=/tmp/alt8585_5427978115204221252.dir/0002_jtag_uart_gen//nios2_uc_jtag_uart_component_configuration.pl --do_build_sim=0 ]</message>
  844. <message level="Info" culprit="jtag_uart">Done RTL generation for module 'nios2_uc_jtag_uart'</message>
  845. <message level="Info" culprit="jtag_uart"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_jtag_uart</b> "<b>jtag_uart</b>"]]></message>
  846. </messages>
  847. </entity>
  848. <entity
  849. path="submodules/"
  850. parameterizationKey="altera_nios2_gen2:18.1:AUTO_CLK_CLOCK_DOMAIN=1,AUTO_CLK_RESET_DOMAIN=1,AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_SPEEDGRADE=7,bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,customInstSlavesSystemInfo=&lt;info/&gt;,customInstSlavesSystemInfo_nios_a=&lt;info/&gt;,customInstSlavesSystemInfo_nios_b=&lt;info/&gt;,customInstSlavesSystemInfo_nios_c=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_size=0,data_master_paddr_base=0,data_master_paddr_size=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_size=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_size=0,instruction_master_paddr_base=0,instruction_master_paddr_size=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,mul_32_impl=2,mul_64_impl=0,mul_shift_choice=0,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchpredictiontype=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disable_tmr_inj=false,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportHostDebugPort=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_tmr_output_disable=false,setting_usedesignware=false,shift_rot_impl=1,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_size=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_size=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_size=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_size=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_size=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_size=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_size=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_size=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=50000000,EXPLICIT_CLOCK_RATE=0,NUM_CLOCK_OUTPUTS=1)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=1,AUTO_CLK_CLOCK_RATE=50000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=1)(altera_nios2_gen2_unit:18.1:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,cpu_name=cpu,customInstSlavesSystemInfo=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_top=0,data_master_paddr_base=0,data_master_paddr_top=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_top=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_top=0,instruction_master_paddr_base=0,instruction_master_paddr_top=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchPredictionType=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_usedesignware=false,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_top=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_top=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_top=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_top=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_top=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_top=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_top=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_top=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=)(clock:18.1:)(clock:18.1:)(reset:18.1:)"
  851. instancePathKey="nios2_uc:.:nios2"
  852. kind="altera_nios2_gen2"
  853. version="18.1"
  854. name="nios2_uc_nios2">
  855. <parameter name="mpx_enabled" value="false" />
  856. <parameter name="ocimem_ramBlockType" value="Automatic" />
  857. <parameter name="dcache_victim_buf_impl" value="ram" />
  858. <parameter name="setting_exportPCB" value="false" />
  859. <parameter name="setting_ic_ecc_present" value="true" />
  860. <parameter name="dcache_size_derived" value="2048" />
  861. <parameter name="mmu_udtlbNumEntries" value="6" />
  862. <parameter
  863. name="deviceFeaturesSystemInfo"
  864. value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  865. <parameter name="bht_ramBlockType" value="Automatic" />
  866. <parameter name="mmu_TLBMissExcSlave" value="None" />
  867. <parameter name="impl" value="Tiny" />
  868. <parameter name="setting_branchpredictiontype" value="Dynamic" />
  869. <parameter name="tightly_coupled_instruction_master_0_paddr_size" value="0" />
  870. <parameter name="breakOffset" value="32" />
  871. <parameter name="setting_activateTrace" value="false" />
  872. <parameter name="debug_offchiptrace" value="false" />
  873. <parameter name="setting_avalonDebugPortPresent" value="false" />
  874. <parameter name="dcache_numTCDM" value="0" />
  875. <parameter name="setting_tmr_output_disable" value="false" />
  876. <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  877. <parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
  878. <parameter name="debug_debugReqSignals" value="false" />
  879. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  880. <parameter name="instruction_master_high_performance_paddr_size" value="0" />
  881. <parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
  882. <parameter name="mmu_processIDNumBits" value="8" />
  883. <parameter name="debug_onchiptrace" value="false" />
  884. <parameter name="setting_rf_ecc_present" value="true" />
  885. <parameter name="ocimem_ramInit" value="false" />
  886. <parameter name="internalIrqMaskSystemInfo" value="1" />
  887. <parameter name="tightly_coupled_data_master_0_paddr_size" value="0" />
  888. <parameter name="exceptionAbsoluteAddr" value="262176" />
  889. <parameter name="icache_size" value="4096" />
  890. <parameter
  891. name="dataSlaveMapParam"
  892. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  893. <parameter name="mpu_enabled" value="false" />
  894. <parameter name="flash_instruction_master_paddr_size" value="0" />
  895. <parameter name="setting_ecc_present" value="false" />
  896. <parameter name="stratix_dspblock_shift_mul" value="false" />
  897. <parameter name="shift_rot_impl" value="1" />
  898. <parameter name="setting_ioregionBypassDCache" value="false" />
  899. <parameter name="register_file_por" value="false" />
  900. <parameter name="faAddrWidth" value="1" />
  901. <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  902. <parameter name="resetrequest_enabled" value="true" />
  903. <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  904. <parameter name="debug_triggerArming" value="true" />
  905. <parameter name="debug_OCIOnchipTrace" value="_128" />
  906. <parameter name="dataAddrWidth" value="20" />
  907. <parameter name="setting_bit31BypassDCache" value="false" />
  908. <parameter name="instAddrWidth" value="20" />
  909. <parameter name="io_regionbase" value="0" />
  910. <parameter name="mul_32_impl" value="2" />
  911. <parameter name="translate_on" value=" &quot;synthesis translate_on&quot; " />
  912. <parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
  913. <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  914. <parameter name="instruction_master_paddr_base" value="0" />
  915. <parameter name="userDefinedSettings" value="" />
  916. <parameter name="mul_64_impl" value="0" />
  917. <parameter name="clockFrequency" value="50000000" />
  918. <parameter name="resetOffset" value="0" />
  919. <parameter name="dcache_ramBlockType" value="Automatic" />
  920. <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
  921. <parameter name="mul_shift_choice" value="0" />
  922. <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  923. <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  924. <parameter name="tightly_coupled_data_master_1_paddr_size" value="0" />
  925. <parameter name="setting_asic_third_party_synthesis" value="false" />
  926. <parameter name="mpu_minInstRegionSize" value="12" />
  927. <parameter name="setting_exportdebuginfo" value="false" />
  928. <parameter name="mmu_tlbPtrSz" value="7" />
  929. <parameter name="resetSlave" value="onchip_memory2.s1" />
  930. <parameter name="dcache_bursts_derived" value="false" />
  931. <parameter name="multiplierType" value="no_mul" />
  932. <parameter name="debug_traceStorage" value="onchip_trace" />
  933. <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  934. <parameter name="fa_cache_linesize" value="0" />
  935. <parameter name="data_master_paddr_size" value="0" />
  936. <parameter name="setting_HBreakTest" value="false" />
  937. <parameter name="setting_disableocitrace" value="false" />
  938. <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  939. <parameter name="setting_showInternalSettings" value="false" />
  940. <parameter name="instructionMasterHighPerformanceMapParam" value="" />
  941. <parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
  942. <parameter name="debug_datatrigger" value="0" />
  943. <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  944. <parameter name="debug_enabled" value="true" />
  945. <parameter name="setting_export_large_RAMs" value="false" />
  946. <parameter name="setting_dc_ecc_present" value="true" />
  947. <parameter name="dividerType" value="no_div" />
  948. <parameter name="setting_exportvectors" value="false" />
  949. <parameter name="breakSlave_derived" value="nios2.debug_mem_slave" />
  950. <parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
  951. <parameter name="mmu_ramBlockType" value="Automatic" />
  952. <parameter name="cdx_enabled" value="false" />
  953. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="7" />
  954. <parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
  955. <parameter name="tracefilename" value="" />
  956. <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
  957. <parameter name="tightly_coupled_instruction_master_2_paddr_size" value="0" />
  958. <parameter name="setting_oci_version" value="1" />
  959. <parameter name="icache_burstType" value="None" />
  960. <parameter name="data_master_high_performance_paddr_size" value="0" />
  961. <parameter name="setting_disable_tmr_inj" value="false" />
  962. <parameter name="instruction_master_high_performance_paddr_base" value="0" />
  963. <parameter name="tightly_coupled_instruction_master_3_paddr_size" value="0" />
  964. <parameter name="regfile_ramBlockType" value="Automatic" />
  965. <parameter name="dcache_size" value="2048" />
  966. <parameter name="breakSlave" value="None" />
  967. <parameter name="exceptionOffset" value="32" />
  968. <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  969. <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  970. <parameter name="breakAbsoluteAddr" value="526368" />
  971. <parameter name="setting_ecc_sim_test_ports" value="false" />
  972. <parameter name="setting_showUnpublishedSettings" value="false" />
  973. <parameter name="master_addr_map" value="false" />
  974. <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  975. <parameter name="resetAbsoluteAddr" value="262144" />
  976. <parameter name="cpuArchRev" value="1" />
  977. <parameter name="setting_dtcm_ecc_present" value="true" />
  978. <parameter name="customInstSlavesSystemInfo_nios_c" value="&lt;info/&gt;" />
  979. <parameter name="customInstSlavesSystemInfo_nios_b" value="&lt;info/&gt;" />
  980. <parameter name="customInstSlavesSystemInfo_nios_a" value="&lt;info/&gt;" />
  981. <parameter name="setting_interruptControllerType" value="Internal" />
  982. <parameter name="dcache_tagramBlockType" value="Automatic" />
  983. <parameter name="debug_insttrace" value="false" />
  984. <parameter name="setting_itcm_ecc_present" value="true" />
  985. <parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
  986. <parameter name="mmu_TLBMissExcAbsAddr" value="0" />
  987. <parameter name="mpu_useLimit" value="false" />
  988. <parameter name="icache_numTCIM" value="0" />
  989. <parameter name="setting_usedesignware" value="false" />
  990. <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  991. <parameter name="instruction_master_paddr_size" value="0" />
  992. <parameter name="mmu_TLBMissExcOffset" value="0" />
  993. <parameter name="mmu_enabled" value="false" />
  994. <parameter name="mmu_uitlbNumEntries" value="4" />
  995. <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  996. <parameter name="setting_activateTestEndChecker" value="false" />
  997. <parameter name="cpuID" value="0" />
  998. <parameter name="tightly_coupled_data_master_2_paddr_size" value="0" />
  999. <parameter name="setting_asic_enabled" value="false" />
  1000. <parameter name="setting_HDLSimCachesCleared" value="true" />
  1001. <parameter name="setting_asic_add_scan_mode_input" value="false" />
  1002. <parameter name="setting_shadowRegisterSets" value="0" />
  1003. <parameter name="tightly_coupled_data_master_3_paddr_size" value="0" />
  1004. <parameter name="icache_ramBlockType" value="Automatic" />
  1005. <parameter name="faSlaveMapParam" value="" />
  1006. <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  1007. <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  1008. <parameter name="fa_cache_line" value="2" />
  1009. <parameter name="debug_assignJtagInstanceID" value="false" />
  1010. <parameter name="setting_activateMonitors" value="true" />
  1011. <parameter name="AUTO_CLK_RESET_DOMAIN" value="1" />
  1012. <parameter name="setting_allow_break_inst" value="false" />
  1013. <parameter name="io_regionsize" value="0" />
  1014. <parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
  1015. <parameter name="translate_off" value=" &quot;synthesis translate_off&quot; " />
  1016. <parameter name="mpu_numOfInstRegion" value="8" />
  1017. <parameter name="flash_instruction_master_paddr_base" value="0" />
  1018. <parameter name="cpuReset" value="false" />
  1019. <parameter name="setting_removeRAMinit" value="false" />
  1020. <parameter name="icache_tagramBlockType" value="Automatic" />
  1021. <parameter name="setting_mmu_ecc_present" value="true" />
  1022. <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" />
  1023. <parameter name="debug_datatrace" value="false" />
  1024. <parameter name="debug_hwbreakpoint" value="0" />
  1025. <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  1026. <parameter name="dataMasterHighPerformanceMapParam" value="" />
  1027. <parameter name="setting_bigEndian" value="false" />
  1028. <parameter name="mpu_minDataRegionSize" value="12" />
  1029. <parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
  1030. <parameter name="debug_jtagInstanceID" value="0" />
  1031. <parameter name="setting_breakslaveoveride" value="false" />
  1032. <parameter name="debug_traceType" value="none" />
  1033. <parameter name="setting_alwaysEncrypt" value="true" />
  1034. <parameter name="setting_oci_export_jtag_signals" value="false" />
  1035. <parameter name="dcache_lineSize_derived" value="32" />
  1036. <parameter name="deviceFamilyName" value="Cyclone IV E" />
  1037. <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  1038. <parameter name="setting_support31bitdcachebypass" value="true" />
  1039. <parameter
  1040. name="instSlaveMapParam"
  1041. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  1042. <parameter name="setting_bhtPtrSz" value="8" />
  1043. <parameter name="setting_exportHostDebugPort" value="false" />
  1044. <parameter name="tmr_enabled" value="false" />
  1045. <parameter name="data_master_paddr_base" value="0" />
  1046. <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  1047. <parameter name="mpu_numOfDataRegion" value="8" />
  1048. <parameter name="data_master_high_performance_paddr_base" value="0" />
  1049. <parameter name="tightly_coupled_instruction_master_1_paddr_size" value="0" />
  1050. <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  1051. <parameter name="dcache_bursts" value="false" />
  1052. <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  1053. <parameter name="setting_fast_register_read" value="false" />
  1054. <parameter name="mmu_tlbNumWays" value="16" />
  1055. <parameter name="shifterType" value="medium_le_shift" />
  1056. <generatedFiles>
  1057. <file
  1058. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2.v"
  1059. type="VERILOG" />
  1060. </generatedFiles>
  1061. <childGeneratedFiles>
  1062. <file
  1063. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"
  1064. type="MIF"
  1065. attributes="" />
  1066. <file
  1067. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v"
  1068. type="VERILOG"
  1069. attributes="" />
  1070. <file
  1071. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"
  1072. type="VERILOG"
  1073. attributes="" />
  1074. <file
  1075. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"
  1076. type="MIF"
  1077. attributes="" />
  1078. <file
  1079. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"
  1080. type="VERILOG"
  1081. attributes="" />
  1082. <file
  1083. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"
  1084. type="VERILOG"
  1085. attributes="" />
  1086. <file
  1087. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"
  1088. type="MIF"
  1089. attributes="" />
  1090. <file
  1091. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.sdc"
  1092. type="SDC"
  1093. attributes="" />
  1094. <file
  1095. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v"
  1096. type="VERILOG"
  1097. attributes="" />
  1098. </childGeneratedFiles>
  1099. <sourceFiles>
  1100. <file
  1101. path="/opt/intelFPGA/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" />
  1102. </sourceFiles>
  1103. <childSourceFiles>
  1104. <file
  1105. path="/opt/intelFPGA/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" />
  1106. </childSourceFiles>
  1107. <instantiator instantiator="nios2_uc" as="nios2" />
  1108. <messages>
  1109. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_nios2_gen2 "submodules/nios2_uc_nios2"</message>
  1110. <message level="Progress" culprit="min"></message>
  1111. <message level="Progress" culprit="max"></message>
  1112. <message level="Progress" culprit="current"></message>
  1113. <message level="Debug">Transform: CustomInstructionTransform</message>
  1114. <message level="Debug">No custom instruction connections, skipping transform </message>
  1115. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  1116. <message level="Debug">Transform: MMTransform</message>
  1117. <message level="Debug">Transform: InterruptMapperTransform</message>
  1118. <message level="Debug">Transform: InterruptSyncTransform</message>
  1119. <message level="Debug">Transform: InterruptFanoutTransform</message>
  1120. <message level="Debug">Transform: AvalonStreamingTransform</message>
  1121. <message level="Debug">Transform: ResetAdaptation</message>
  1122. <message level="Debug" culprit="nios2"><![CDATA["<b>nios2</b>" reuses <b>altera_nios2_gen2_unit</b> "<b>submodules/nios2_uc_nios2_cpu</b>"]]></message>
  1123. <message level="Info" culprit="nios2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_nios2_gen2</b> "<b>nios2</b>"]]></message>
  1124. <message level="Debug" culprit="nios2_uc">queue size: 38 starting:altera_nios2_gen2_unit "submodules/nios2_uc_nios2_cpu"</message>
  1125. <message level="Info" culprit="cpu">Starting RTL generation for module 'nios2_uc_nios2_cpu'</message>
  1126. <message level="Info" culprit="cpu"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64//eperlcmd -I /opt/intelFPGA/18.1/quartus/linux64//perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=nios2_uc_nios2_cpu --dir=/tmp/alt8585_5427978115204221252.dir/0007_cpu_gen/ --quartus_bindir=/opt/intelFPGA/18.1/quartus/linux64/ --verilog --config=/tmp/alt8585_5427978115204221252.dir/0007_cpu_gen//nios2_uc_nios2_cpu_processor_configuration.pl --do_build_sim=0 ]</message>
  1127. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Starting Nios II generation</message>
  1128. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Checking for plaintext license.</message>
  1129. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Couldn't query license setup in Quartus directory /opt/intelFPGA/18.1/quartus/linux64/</message>
  1130. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Defaulting to contents of LM_LICENSE_FILE environment variable</message>
  1131. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) LM_LICENSE_FILE environment variable is empty</message>
  1132. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Plaintext license not found.</message>
  1133. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) No license required to generate encrypted Nios II/e.</message>
  1134. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Elaborating CPU configuration settings</message>
  1135. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Creating all objects for CPU</message>
  1136. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Generating RTL from CPU objects</message>
  1137. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Creating plain-text RTL</message>
  1138. <message level="Info" culprit="cpu"># 2020.11.19 16:37:55 (*) Done Nios II generation</message>
  1139. <message level="Info" culprit="cpu">Done RTL generation for module 'nios2_uc_nios2_cpu'</message>
  1140. <message level="Info" culprit="cpu"><![CDATA["<b>nios2</b>" instantiated <b>altera_nios2_gen2_unit</b> "<b>cpu</b>"]]></message>
  1141. </messages>
  1142. </entity>
  1143. <entity
  1144. path="submodules/"
  1145. parameterizationKey="altera_avalon_onchip_memory2:18.1:allowInSystemMemoryContentEditor=false,autoInitializationFileName=nios2_uc_onchip_memory2,blockType=AUTO,copyInitFile=false,dataWidth=32,dataWidth2=32,derived_enableDiffWidth=false,derived_gui_ram_block_type=Automatic,derived_init_file_name=nios2_uc_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_addr_width2=16,derived_set_data_width=32,derived_set_data_width2=32,derived_singleClockOperation=false,deviceFamily=Cyclone IV E,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,enPRInitMode=false,enableDiffWidth=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=204800,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true"
  1146. instancePathKey="nios2_uc:.:onchip_memory2"
  1147. kind="altera_avalon_onchip_memory2"
  1148. version="18.1"
  1149. name="nios2_uc_onchip_memory2">
  1150. <parameter name="derived_singleClockOperation" value="false" />
  1151. <parameter name="derived_is_hardcopy" value="false" />
  1152. <parameter
  1153. name="deviceFeatures"
  1154. value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  1155. <parameter name="autoInitializationFileName" value="nios2_uc_onchip_memory2" />
  1156. <parameter name="derived_gui_ram_block_type" value="Automatic" />
  1157. <parameter name="enPRInitMode" value="false" />
  1158. <parameter name="useShallowMemBlocks" value="false" />
  1159. <parameter name="writable" value="true" />
  1160. <parameter name="dualPort" value="false" />
  1161. <parameter name="derived_set_addr_width2" value="16" />
  1162. <parameter name="dataWidth" value="32" />
  1163. <parameter name="allowInSystemMemoryContentEditor" value="false" />
  1164. <parameter name="derived_set_addr_width" value="16" />
  1165. <parameter name="derived_init_file_name" value="nios2_uc_onchip_memory2.hex" />
  1166. <parameter name="initializationFileName" value="onchip_mem.hex" />
  1167. <parameter name="singleClockOperation" value="false" />
  1168. <parameter name="derived_set_data_width2" value="32" />
  1169. <parameter name="readDuringWriteMode" value="DONT_CARE" />
  1170. <parameter name="blockType" value="AUTO" />
  1171. <parameter name="derived_enableDiffWidth" value="false" />
  1172. <parameter name="useNonDefaultInitFile" value="false" />
  1173. <parameter name="resetrequest_enabled" value="true" />
  1174. <parameter name="simMemInitOnlyFilename" value="0" />
  1175. <parameter name="copyInitFile" value="false" />
  1176. <parameter name="deviceFamily" value="Cyclone IV E" />
  1177. <parameter name="simAllowMRAMContentsFile" value="false" />
  1178. <parameter name="ecc_enabled" value="false" />
  1179. <parameter name="derived_set_data_width" value="32" />
  1180. <parameter name="instanceID" value="NONE" />
  1181. <parameter name="memorySize" value="204800" />
  1182. <parameter name="dataWidth2" value="32" />
  1183. <parameter name="enableDiffWidth" value="false" />
  1184. <parameter name="initMemContent" value="true" />
  1185. <parameter name="slave1Latency" value="1" />
  1186. <parameter name="slave2Latency" value="1" />
  1187. <generatedFiles>
  1188. <file
  1189. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.hex"
  1190. type="HEX"
  1191. attributes="" />
  1192. <file
  1193. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.v"
  1194. type="VERILOG"
  1195. attributes="" />
  1196. </generatedFiles>
  1197. <childGeneratedFiles/>
  1198. <sourceFiles>
  1199. <file
  1200. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
  1201. </sourceFiles>
  1202. <childSourceFiles/>
  1203. <instantiator instantiator="nios2_uc" as="onchip_memory2" />
  1204. <messages>
  1205. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_avalon_onchip_memory2 "submodules/nios2_uc_onchip_memory2"</message>
  1206. <message level="Info" culprit="onchip_memory2">Starting RTL generation for module 'nios2_uc_onchip_memory2'</message>
  1207. <message level="Info" culprit="onchip_memory2"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64/perl/bin/perl -I /opt/intelFPGA/18.1/quartus/linux64/perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios2_uc_onchip_memory2 --dir=/tmp/alt8585_5427978115204221252.dir/0003_onchip_memory2_gen/ --quartus_dir=/opt/intelFPGA/18.1/quartus --verilog --config=/tmp/alt8585_5427978115204221252.dir/0003_onchip_memory2_gen//nios2_uc_onchip_memory2_component_configuration.pl --do_build_sim=0 ]</message>
  1208. <message level="Info" culprit="onchip_memory2">Done RTL generation for module 'nios2_uc_onchip_memory2'</message>
  1209. <message level="Info" culprit="onchip_memory2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_onchip_memory2</b> "<b>onchip_memory2</b>"]]></message>
  1210. </messages>
  1211. </entity>
  1212. <entity
  1213. path="submodules/"
  1214. parameterizationKey="altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=false,derived_has_irq=false,derived_has_out=true,derived_has_tri=false,derived_irq_type=NONE,direction=Output,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=32"
  1215. instancePathKey="nios2_uc:.:pio_LED"
  1216. kind="altera_avalon_pio"
  1217. version="18.1"
  1218. name="nios2_uc_pio_LED">
  1219. <parameter name="derived_do_test_bench_wiring" value="false" />
  1220. <parameter name="generateIRQ" value="false" />
  1221. <parameter name="derived_has_irq" value="false" />
  1222. <parameter name="captureEdge" value="false" />
  1223. <parameter name="clockRate" value="50000000" />
  1224. <parameter name="derived_has_out" value="true" />
  1225. <parameter name="derived_has_in" value="false" />
  1226. <parameter name="resetValue" value="0" />
  1227. <parameter name="derived_has_tri" value="false" />
  1228. <parameter name="derived_capture" value="false" />
  1229. <parameter name="simDoTestBenchWiring" value="false" />
  1230. <parameter name="bitModifyingOutReg" value="false" />
  1231. <parameter name="simDrivenValue" value="0" />
  1232. <parameter name="derived_edge_type" value="NONE" />
  1233. <parameter name="irqType" value="LEVEL" />
  1234. <parameter name="derived_irq_type" value="NONE" />
  1235. <parameter name="edgeType" value="RISING" />
  1236. <parameter name="width" value="32" />
  1237. <parameter name="bitClearingEdgeCapReg" value="false" />
  1238. <parameter name="direction" value="Output" />
  1239. <generatedFiles>
  1240. <file
  1241. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_pio_LED.v"
  1242. type="VERILOG"
  1243. attributes="" />
  1244. </generatedFiles>
  1245. <childGeneratedFiles/>
  1246. <sourceFiles>
  1247. <file
  1248. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  1249. </sourceFiles>
  1250. <childSourceFiles/>
  1251. <instantiator instantiator="nios2_uc" as="pio_LED" />
  1252. <messages>
  1253. <message level="Debug" culprit="nios2_uc">queue size: 4 starting:altera_avalon_pio "submodules/nios2_uc_pio_LED"</message>
  1254. <message level="Info" culprit="pio_LED">Starting RTL generation for module 'nios2_uc_pio_LED'</message>
  1255. <message level="Info" culprit="pio_LED"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64/perl/bin/perl -I /opt/intelFPGA/18.1/quartus/linux64/perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /opt/intelFPGA/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_LED --dir=/tmp/alt8585_5427978115204221252.dir/0004_pio_LED_gen/ --quartus_dir=/opt/intelFPGA/18.1/quartus --verilog --config=/tmp/alt8585_5427978115204221252.dir/0004_pio_LED_gen//nios2_uc_pio_LED_component_configuration.pl --do_build_sim=0 ]</message>
  1256. <message level="Info" culprit="pio_LED">Done RTL generation for module 'nios2_uc_pio_LED'</message>
  1257. <message level="Info" culprit="pio_LED"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_LED</b>"]]></message>
  1258. </messages>
  1259. </entity>
  1260. <entity
  1261. path="submodules/"
  1262. parameterizationKey="altera_mm_interconnect:18.1:AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_FAMILY=Cyclone IV E,AUTO_DEVICE_SPEEDGRADE=,COMPOSE_CONTENTS=add_instance {nios2_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_data_master_translator} {SYNC_RESET} {0};add_instance {nios2_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_instruction_master_translator} {SYNC_RESET} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_debug_mem_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READ} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_LED_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_LED_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_LED_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {nios2_data_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {nios2_data_master_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_data_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1263. &lt;address_map&gt;
  1264. &lt;slave
  1265. id=&quot;0&quot;
  1266. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1267. start=&quot;0x0000000000081028&quot;
  1268. end=&quot;0x00000000000081030&quot;
  1269. responds=&quot;1&quot;
  1270. user_default=&quot;0&quot; /&gt;
  1271. &lt;slave
  1272. id=&quot;1&quot;
  1273. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1274. start=&quot;0x0000000000080800&quot;
  1275. end=&quot;0x00000000000081000&quot;
  1276. responds=&quot;1&quot;
  1277. user_default=&quot;0&quot; /&gt;
  1278. &lt;slave
  1279. id=&quot;2&quot;
  1280. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1281. start=&quot;0x0000000000040000&quot;
  1282. end=&quot;0x00000000000080000&quot;
  1283. responds=&quot;1&quot;
  1284. user_default=&quot;0&quot; /&gt;
  1285. &lt;slave
  1286. id=&quot;3&quot;
  1287. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1288. start=&quot;0x0000000000081010&quot;
  1289. end=&quot;0x00000000000081020&quot;
  1290. responds=&quot;1&quot;
  1291. user_default=&quot;0&quot; /&gt;
  1292. &lt;/address_map&gt;
  1293. };set_instance_parameter_value {nios2_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {nios2_instruction_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {nios2_instruction_master_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_instruction_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1294. &lt;address_map&gt;
  1295. &lt;slave
  1296. id=&quot;0&quot;
  1297. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1298. start=&quot;0x0000000000081028&quot;
  1299. end=&quot;0x00000000000081030&quot;
  1300. responds=&quot;1&quot;
  1301. user_default=&quot;0&quot; /&gt;
  1302. &lt;slave
  1303. id=&quot;1&quot;
  1304. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1305. start=&quot;0x0000000000080800&quot;
  1306. end=&quot;0x00000000000081000&quot;
  1307. responds=&quot;1&quot;
  1308. user_default=&quot;0&quot; /&gt;
  1309. &lt;slave
  1310. id=&quot;2&quot;
  1311. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1312. start=&quot;0x0000000000040000&quot;
  1313. end=&quot;0x00000000000080000&quot;
  1314. responds=&quot;1&quot;
  1315. user_default=&quot;0&quot; /&gt;
  1316. &lt;slave
  1317. id=&quot;3&quot;
  1318. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1319. start=&quot;0x0000000000081010&quot;
  1320. end=&quot;0x00000000000081020&quot;
  1321. responds=&quot;1&quot;
  1322. user_default=&quot;0&quot; /&gt;
  1323. &lt;/address_map&gt;
  1324. };set_instance_parameter_value {nios2_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ID} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {2};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_LED_s1_agent} {ID} {3};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {2 1 3 0 };set_instance_parameter_value {router} {CHANNEL_ID} {0100 0010 1000 0001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 0x81010 0x81028 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 0x81020 0x81030 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {4};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {2};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {2};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 1 3 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {0100 0010 1000 0001 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x40000 0x80800 0x81010 0x81028 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x80000 0x81000 0x81020 0x81030 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {2};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_005} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {4};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {4};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {4};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {4};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_data_master_translator.avalon_universal_master_0} {nios2_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {nios2_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_data_master_agent.rp} {qsys_mm.response};add_connection {nios2_instruction_master_translator.avalon_universal_master_0} {nios2_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {nios2_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {nios2_debug_mem_slave_agent.m0} {nios2_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_debug_mem_slave_agent.rf_source} {nios2_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent_rsp_fifo.out} {nios2_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent.rdata_fifo_src} {nios2_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {nios2_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/nios2_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {nios2_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {nios2_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {nios2_debug_mem_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {nios2_debug_mem_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_003.src1} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src1/rsp_mux_001.sink3} {qsys_mm.response};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {nios2_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_reset_reset_bridge.in_reset};add_interface {nios2_data_master} {avalon} {slave};set_interface_property {nios2_data_master} {EXPORT_OF} {nios2_data_master_translator.avalon_anti_master_0};add_interface {nios2_instruction_master} {avalon} {slave};set_interface_property {nios2_instruction_master} {EXPORT_OF} {nios2_instruction_master_translator.avalon_anti_master_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_debug_mem_slave} {avalon} {master};set_interface_property {nios2_debug_mem_slave} {EXPORT_OF} {nios2_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {0};set_module_assignment {interconnect_id.nios2.data_master} {0};set_module_assignment {interconnect_id.nios2.debug_mem_slave} {1};set_module_assignment {interconnect_id.nios2.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory2.s1} {2};set_module_assignment {interconnect_id.pio_LED.s1} {3};(altera_merlin_master_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,SYNC_RESET=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_master_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=1,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,SYNC_RESET=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=9,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=16,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=1,AV_READ_WAIT=0,AV_READ_WAIT_CYCLES=0,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_master_agent:18.1:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1325. &lt;address_map&gt;
  1326. &lt;slave
  1327. id=&quot;0&quot;
  1328. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1329. start=&quot;0x0000000000081028&quot;
  1330. end=&quot;0x00000000000081030&quot;
  1331. responds=&quot;1&quot;
  1332. user_default=&quot;0&quot; /&gt;
  1333. &lt;slave
  1334. id=&quot;1&quot;
  1335. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1336. start=&quot;0x0000000000080800&quot;
  1337. end=&quot;0x00000000000081000&quot;
  1338. responds=&quot;1&quot;
  1339. user_default=&quot;0&quot; /&gt;
  1340. &lt;slave
  1341. id=&quot;2&quot;
  1342. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1343. start=&quot;0x0000000000040000&quot;
  1344. end=&quot;0x00000000000080000&quot;
  1345. responds=&quot;1&quot;
  1346. user_default=&quot;0&quot; /&gt;
  1347. &lt;slave
  1348. id=&quot;3&quot;
  1349. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1350. start=&quot;0x0000000000081010&quot;
  1351. end=&quot;0x00000000000081020&quot;
  1352. responds=&quot;1&quot;
  1353. user_default=&quot;0&quot; /&gt;
  1354. &lt;/address_map&gt;
  1355. ,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=88,PKT_CACHE_L=85,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=81,PKT_THREAD_ID_L=81,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_master_agent:18.1:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1356. &lt;address_map&gt;
  1357. &lt;slave
  1358. id=&quot;0&quot;
  1359. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1360. start=&quot;0x0000000000081028&quot;
  1361. end=&quot;0x00000000000081030&quot;
  1362. responds=&quot;1&quot;
  1363. user_default=&quot;0&quot; /&gt;
  1364. &lt;slave
  1365. id=&quot;1&quot;
  1366. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1367. start=&quot;0x0000000000080800&quot;
  1368. end=&quot;0x00000000000081000&quot;
  1369. responds=&quot;1&quot;
  1370. user_default=&quot;0&quot; /&gt;
  1371. &lt;slave
  1372. id=&quot;2&quot;
  1373. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1374. start=&quot;0x0000000000040000&quot;
  1375. end=&quot;0x00000000000080000&quot;
  1376. responds=&quot;1&quot;
  1377. user_default=&quot;0&quot; /&gt;
  1378. &lt;slave
  1379. id=&quot;3&quot;
  1380. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1381. start=&quot;0x0000000000081010&quot;
  1382. end=&quot;0x00000000000081020&quot;
  1383. responds=&quot;1&quot;
  1384. user_default=&quot;0&quot; /&gt;
  1385. &lt;/address_map&gt;
  1386. ,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=1,BURSTWRAP_VALUE=3,CACHE_VALUE=0,ID=1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=88,PKT_CACHE_L=85,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=81,PKT_THREAD_ID_L=81,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=0,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=95,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=1,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=95,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=2,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=95,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=3,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=95,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_router:18.1:CHANNEL_ID=0100,0010,1000,0001,DECODER_TYPE=0,DEFAULT_CHANNEL=2,DEFAULT_DESTID=2,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=2,1,3,0,END_ADDRESS=0x80000,0x81000,0x81020,0x81030,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,SLAVES_INFO=2:0100:0x40000:0x80000:both:1:0:0:1,1:0010:0x80800:0x81000:both:1:0:0:1,3:1000:0x81010:0x81020:both:1:0:0:1,0:0001:0x81028:0x81030:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,0x81010,0x81028,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,both,both,both)(altera_merlin_router:18.1:CHANNEL_ID=0100,0010,1000,0001,DECODER_TYPE=0,DEFAULT_CHANNEL=2,DEFAULT_DESTID=2,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=2,1,3,0,END_ADDRESS=0x80000,0x81000,0x81020,0x81030,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,SLAVES_INFO=2:0100:0x40000:0x80000:both:1:0:0:1,1:0010:0x80800:0x81000:both:1:0:0:1,3:1000:0x81010:0x81020:both:1:0:0:1,0:0001:0x81028:0x81030:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,0x81010,0x81028,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,both,both,both)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,read)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=4,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=4,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=4,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=4,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=50000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=0)(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=0,EXPLICIT_CLOCK_RATE=50000000,NUM_CLOCK_OUTPUTS=1)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)"
  1387. instancePathKey="nios2_uc:.:mm_interconnect_0"
  1388. kind="altera_mm_interconnect"
  1389. version="18.1"
  1390. name="nios2_uc_mm_interconnect_0">
  1391. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  1392. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  1393. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="" />
  1394. <parameter
  1395. name="COMPOSE_CONTENTS"
  1396. value="add_instance {nios2_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_data_master_translator} {SYNC_RESET} {0};add_instance {nios2_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_instruction_master_translator} {SYNC_RESET} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_debug_mem_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READ} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_LED_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_LED_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_LED_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {nios2_data_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {nios2_data_master_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_data_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1397. &lt;address_map&gt;
  1398. &lt;slave
  1399. id=&quot;0&quot;
  1400. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1401. start=&quot;0x0000000000081028&quot;
  1402. end=&quot;0x00000000000081030&quot;
  1403. responds=&quot;1&quot;
  1404. user_default=&quot;0&quot; /&gt;
  1405. &lt;slave
  1406. id=&quot;1&quot;
  1407. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1408. start=&quot;0x0000000000080800&quot;
  1409. end=&quot;0x00000000000081000&quot;
  1410. responds=&quot;1&quot;
  1411. user_default=&quot;0&quot; /&gt;
  1412. &lt;slave
  1413. id=&quot;2&quot;
  1414. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1415. start=&quot;0x0000000000040000&quot;
  1416. end=&quot;0x00000000000080000&quot;
  1417. responds=&quot;1&quot;
  1418. user_default=&quot;0&quot; /&gt;
  1419. &lt;slave
  1420. id=&quot;3&quot;
  1421. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1422. start=&quot;0x0000000000081010&quot;
  1423. end=&quot;0x00000000000081020&quot;
  1424. responds=&quot;1&quot;
  1425. user_default=&quot;0&quot; /&gt;
  1426. &lt;/address_map&gt;
  1427. };set_instance_parameter_value {nios2_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {nios2_instruction_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {nios2_instruction_master_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_instruction_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1428. &lt;address_map&gt;
  1429. &lt;slave
  1430. id=&quot;0&quot;
  1431. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1432. start=&quot;0x0000000000081028&quot;
  1433. end=&quot;0x00000000000081030&quot;
  1434. responds=&quot;1&quot;
  1435. user_default=&quot;0&quot; /&gt;
  1436. &lt;slave
  1437. id=&quot;1&quot;
  1438. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1439. start=&quot;0x0000000000080800&quot;
  1440. end=&quot;0x00000000000081000&quot;
  1441. responds=&quot;1&quot;
  1442. user_default=&quot;0&quot; /&gt;
  1443. &lt;slave
  1444. id=&quot;2&quot;
  1445. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1446. start=&quot;0x0000000000040000&quot;
  1447. end=&quot;0x00000000000080000&quot;
  1448. responds=&quot;1&quot;
  1449. user_default=&quot;0&quot; /&gt;
  1450. &lt;slave
  1451. id=&quot;3&quot;
  1452. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1453. start=&quot;0x0000000000081010&quot;
  1454. end=&quot;0x00000000000081020&quot;
  1455. responds=&quot;1&quot;
  1456. user_default=&quot;0&quot; /&gt;
  1457. &lt;/address_map&gt;
  1458. };set_instance_parameter_value {nios2_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ID} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {2};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {78};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {79};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {4};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_LED_s1_agent} {ID} {3};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {2 1 3 0 };set_instance_parameter_value {router} {CHANNEL_ID} {0100 0010 1000 0001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 0x81010 0x81028 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 0x81020 0x81030 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {4};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {2};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {2};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 1 3 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {0100 0010 1000 0001 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x40000 0x80800 0x81010 0x81028 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x80000 0x81000 0x81020 0x81030 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {2};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_005} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {79};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {4};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {4};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {4};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {4};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {4};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {4};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {4};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_data_master_translator.avalon_universal_master_0} {nios2_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {nios2_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_data_master_agent.rp} {qsys_mm.response};add_connection {nios2_instruction_master_translator.avalon_universal_master_0} {nios2_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {nios2_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {nios2_debug_mem_slave_agent.m0} {nios2_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_debug_mem_slave_agent.rf_source} {nios2_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent_rsp_fifo.out} {nios2_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent.rdata_fifo_src} {nios2_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {nios2_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/nios2_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {nios2_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {nios2_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {nios2_debug_mem_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {nios2_debug_mem_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_003.src1} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src1/rsp_mux_001.sink3} {qsys_mm.response};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {nios2_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_reset_reset_bridge.in_reset};add_interface {nios2_data_master} {avalon} {slave};set_interface_property {nios2_data_master} {EXPORT_OF} {nios2_data_master_translator.avalon_anti_master_0};add_interface {nios2_instruction_master} {avalon} {slave};set_interface_property {nios2_instruction_master} {EXPORT_OF} {nios2_instruction_master_translator.avalon_anti_master_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_debug_mem_slave} {avalon} {master};set_interface_property {nios2_debug_mem_slave} {EXPORT_OF} {nios2_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {0};set_module_assignment {interconnect_id.nios2.data_master} {0};set_module_assignment {interconnect_id.nios2.debug_mem_slave} {1};set_module_assignment {interconnect_id.nios2.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory2.s1} {2};set_module_assignment {interconnect_id.pio_LED.s1} {3};" />
  1459. <generatedFiles>
  1460. <file
  1461. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0.v"
  1462. type="VERILOG" />
  1463. </generatedFiles>
  1464. <childGeneratedFiles>
  1465. <file
  1466. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv"
  1467. type="SYSTEM_VERILOG"
  1468. attributes="" />
  1469. <file
  1470. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv"
  1471. type="SYSTEM_VERILOG"
  1472. attributes="" />
  1473. <file
  1474. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv"
  1475. type="SYSTEM_VERILOG"
  1476. attributes="" />
  1477. <file
  1478. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv"
  1479. type="SYSTEM_VERILOG"
  1480. attributes="" />
  1481. <file
  1482. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
  1483. type="SYSTEM_VERILOG"
  1484. attributes="" />
  1485. <file
  1486. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_avalon_sc_fifo.v"
  1487. type="VERILOG"
  1488. attributes="" />
  1489. <file
  1490. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv"
  1491. type="SYSTEM_VERILOG"
  1492. attributes="" />
  1493. <file
  1494. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv"
  1495. type="SYSTEM_VERILOG"
  1496. attributes="" />
  1497. <file
  1498. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv"
  1499. type="SYSTEM_VERILOG"
  1500. attributes="" />
  1501. <file
  1502. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv"
  1503. type="SYSTEM_VERILOG"
  1504. attributes="" />
  1505. <file
  1506. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  1507. type="SYSTEM_VERILOG"
  1508. attributes="" />
  1509. <file
  1510. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv"
  1511. type="SYSTEM_VERILOG"
  1512. attributes="" />
  1513. <file
  1514. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv"
  1515. type="SYSTEM_VERILOG"
  1516. attributes="" />
  1517. <file
  1518. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  1519. type="SYSTEM_VERILOG"
  1520. attributes="" />
  1521. <file
  1522. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v"
  1523. type="VERILOG" />
  1524. <file
  1525. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  1526. type="SYSTEM_VERILOG"
  1527. attributes="" />
  1528. </childGeneratedFiles>
  1529. <sourceFiles>
  1530. <file
  1531. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
  1532. </sourceFiles>
  1533. <childSourceFiles>
  1534. <file
  1535. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  1536. <file
  1537. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  1538. <file
  1539. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  1540. <file
  1541. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  1542. <file
  1543. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
  1544. <file
  1545. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  1546. <file
  1547. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  1548. <file
  1549. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  1550. <file
  1551. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  1552. <file
  1553. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  1554. <file
  1555. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  1556. <file
  1557. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  1558. <file
  1559. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" />
  1560. <file
  1561. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  1562. </childSourceFiles>
  1563. <instantiator instantiator="nios2_uc" as="mm_interconnect_0" />
  1564. <messages>
  1565. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_mm_interconnect "submodules/nios2_uc_mm_interconnect_0"</message>
  1566. <message level="Progress" culprit="min"></message>
  1567. <message level="Progress" culprit="max"></message>
  1568. <message level="Progress" culprit="current"></message>
  1569. <message level="Debug">Transform: CustomInstructionTransform</message>
  1570. <message level="Debug">No custom instruction connections, skipping transform </message>
  1571. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1572. <message level="Debug">Transform: MMTransform</message>
  1573. <message level="Debug">Transform: InitialInterconnectTransform</message>
  1574. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  1575. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  1576. <message level="Debug">Transform: DefaultSlaveTransform</message>
  1577. <message level="Debug">Transform: TranslatorTransform</message>
  1578. <message level="Debug">No Avalon connections, skipping transform </message>
  1579. <message level="Debug">Transform: IDPadTransform</message>
  1580. <message level="Debug">Transform: DomainTransform</message>
  1581. <message level="Debug">Transform: RouterTransform</message>
  1582. <message level="Debug">Transform: TrafficLimiterTransform</message>
  1583. <message level="Debug">Transform: BurstTransform</message>
  1584. <message level="Debug">Transform: TreeTransform</message>
  1585. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  1586. <message level="Debug">Transform: WidthTransform</message>
  1587. <message level="Debug">Transform: RouterTableTransform</message>
  1588. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  1589. <message level="Debug">Transform: ClockCrossingTransform</message>
  1590. <message level="Debug">Transform: PipelineTransform</message>
  1591. <message level="Debug">Transform: SpotPipelineTransform</message>
  1592. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  1593. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  1594. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  1595. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  1596. <message level="Debug">Transform: HierarchyTransform</message>
  1597. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1598. <message level="Debug">Transform: InitialInterconnectTransform</message>
  1599. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  1600. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  1601. <message level="Debug">Transform: DefaultSlaveTransform</message>
  1602. <message level="Debug">Transform: TranslatorTransform</message>
  1603. <message level="Debug">No Avalon connections, skipping transform </message>
  1604. <message level="Debug">Transform: IDPadTransform</message>
  1605. <message level="Debug">Transform: DomainTransform</message>
  1606. <message level="Debug">Transform: RouterTransform</message>
  1607. <message level="Debug">Transform: TrafficLimiterTransform</message>
  1608. <message level="Debug">Transform: BurstTransform</message>
  1609. <message level="Debug">Transform: TreeTransform</message>
  1610. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  1611. <message level="Debug">Transform: WidthTransform</message>
  1612. <message level="Debug">Transform: RouterTableTransform</message>
  1613. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  1614. <message level="Debug">Transform: ClockCrossingTransform</message>
  1615. <message level="Debug">Transform: PipelineTransform</message>
  1616. <message level="Debug">Transform: SpotPipelineTransform</message>
  1617. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  1618. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  1619. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  1620. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  1621. <message level="Debug">Transform: HierarchyTransform</message>
  1622. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1623. <message level="Debug">Transform: InitialInterconnectTransform</message>
  1624. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  1625. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  1626. <message level="Debug">Transform: DefaultSlaveTransform</message>
  1627. <message level="Debug">Transform: TranslatorTransform</message>
  1628. <message level="Debug">No Avalon connections, skipping transform </message>
  1629. <message level="Debug">Transform: IDPadTransform</message>
  1630. <message level="Debug">Transform: DomainTransform</message>
  1631. <message level="Debug">Transform: RouterTransform</message>
  1632. <message level="Debug">Transform: TrafficLimiterTransform</message>
  1633. <message level="Debug">Transform: BurstTransform</message>
  1634. <message level="Debug">Transform: TreeTransform</message>
  1635. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  1636. <message level="Debug">Transform: WidthTransform</message>
  1637. <message level="Debug">Transform: RouterTableTransform</message>
  1638. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  1639. <message level="Debug">Transform: ClockCrossingTransform</message>
  1640. <message level="Debug">Transform: PipelineTransform</message>
  1641. <message level="Debug">Transform: SpotPipelineTransform</message>
  1642. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  1643. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  1644. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  1645. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  1646. <message level="Debug">Transform: HierarchyTransform</message>
  1647. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1648. <message level="Debug">Transform: InitialInterconnectTransform</message>
  1649. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  1650. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  1651. <message level="Debug">Transform: DefaultSlaveTransform</message>
  1652. <message level="Debug">Transform: TranslatorTransform</message>
  1653. <message level="Debug">No Avalon connections, skipping transform </message>
  1654. <message level="Debug">Transform: IDPadTransform</message>
  1655. <message level="Debug">Transform: DomainTransform</message>
  1656. <message level="Debug">Transform: RouterTransform</message>
  1657. <message level="Debug">Transform: TrafficLimiterTransform</message>
  1658. <message level="Debug">Transform: BurstTransform</message>
  1659. <message level="Debug">Transform: TreeTransform</message>
  1660. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  1661. <message level="Debug">Transform: WidthTransform</message>
  1662. <message level="Debug">Transform: RouterTableTransform</message>
  1663. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  1664. <message level="Debug">Transform: ClockCrossingTransform</message>
  1665. <message level="Debug">Transform: PipelineTransform</message>
  1666. <message level="Debug">Transform: SpotPipelineTransform</message>
  1667. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  1668. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  1669. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  1670. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  1671. <message level="Debug">Transform: HierarchyTransform</message>
  1672. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1673. <message level="Debug">Transform: InitialInterconnectTransform</message>
  1674. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  1675. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  1676. <message level="Debug">Transform: DefaultSlaveTransform</message>
  1677. <message level="Debug">Transform: TranslatorTransform</message>
  1678. <message level="Debug">No Avalon connections, skipping transform </message>
  1679. <message level="Debug">Transform: IDPadTransform</message>
  1680. <message level="Debug">Transform: DomainTransform</message>
  1681. <message level="Debug">Transform: RouterTransform</message>
  1682. <message level="Debug">Transform: TrafficLimiterTransform</message>
  1683. <message level="Debug">Transform: BurstTransform</message>
  1684. <message level="Debug">Transform: TreeTransform</message>
  1685. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  1686. <message level="Debug">Transform: WidthTransform</message>
  1687. <message level="Debug">Transform: RouterTableTransform</message>
  1688. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  1689. <message level="Debug">Transform: ClockCrossingTransform</message>
  1690. <message level="Debug">Transform: PipelineTransform</message>
  1691. <message level="Debug">Transform: SpotPipelineTransform</message>
  1692. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  1693. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  1694. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  1695. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  1696. <message level="Debug">Transform: HierarchyTransform</message>
  1697. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1698. <message level="Debug">Transform: InitialInterconnectTransform</message>
  1699. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  1700. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  1701. <message level="Debug">Transform: DefaultSlaveTransform</message>
  1702. <message level="Debug">Transform: TranslatorTransform</message>
  1703. <message level="Debug">No Avalon connections, skipping transform </message>
  1704. <message level="Debug">Transform: IDPadTransform</message>
  1705. <message level="Debug">Transform: DomainTransform</message>
  1706. <message level="Debug">Transform: RouterTransform</message>
  1707. <message level="Debug">Transform: TrafficLimiterTransform</message>
  1708. <message level="Debug">Transform: BurstTransform</message>
  1709. <message level="Debug">Transform: TreeTransform</message>
  1710. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  1711. <message level="Debug">Transform: WidthTransform</message>
  1712. <message level="Debug">Transform: RouterTableTransform</message>
  1713. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  1714. <message level="Debug">Transform: ClockCrossingTransform</message>
  1715. <message level="Debug">Transform: PipelineTransform</message>
  1716. <message level="Debug">Transform: SpotPipelineTransform</message>
  1717. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  1718. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  1719. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  1720. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  1721. <message level="Debug">Transform: HierarchyTransform</message>
  1722. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>36</b> modules, <b>121</b> connections]]></message>
  1723. <message level="Debug">Transform: InterruptMapperTransform</message>
  1724. <message level="Debug">Transform: InterruptSyncTransform</message>
  1725. <message level="Debug">Transform: InterruptFanoutTransform</message>
  1726. <message level="Debug">Transform: AvalonStreamingTransform</message>
  1727. <message level="Progress" culprit="min"></message>
  1728. <message level="Progress" culprit="max"></message>
  1729. <message level="Progress" culprit="current"></message>
  1730. <message level="Info" culprit="avalon_st_adapter">Inserting error_adapter: error_adapter_0</message>
  1731. <message level="Debug" culprit="avalon_st_adapter.clk_bridge_0">Timing: ELA:1/0.000s</message>
  1732. <message level="Debug" culprit="avalon_st_adapter.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  1733. <message level="Debug" culprit="avalon_st_adapter.error_adapter_0">Timing: ELA:1/0.004s</message>
  1734. <message level="Debug" culprit="avalon_st_adapter">Timing: COM:3/0.025s/0.032s</message>
  1735. <message level="Progress" culprit="min"></message>
  1736. <message level="Progress" culprit="max"></message>
  1737. <message level="Progress" culprit="current"></message>
  1738. <message level="Info" culprit="avalon_st_adapter_001">Inserting error_adapter: error_adapter_0</message>
  1739. <message level="Debug" culprit="avalon_st_adapter_001.clk_bridge_0">Timing: ELA:1/0.000s</message>
  1740. <message level="Debug" culprit="avalon_st_adapter_001.rst_bridge_0">Timing: ELA:2/0.000s/0.000s</message>
  1741. <message level="Debug" culprit="avalon_st_adapter_001.error_adapter_0">Timing: ELA:1/0.004s</message>
  1742. <message level="Debug" culprit="avalon_st_adapter_001">Timing: COM:3/0.010s/0.011s</message>
  1743. <message level="Progress" culprit="min"></message>
  1744. <message level="Progress" culprit="max"></message>
  1745. <message level="Progress" culprit="current"></message>
  1746. <message level="Info" culprit="avalon_st_adapter_002">Inserting error_adapter: error_adapter_0</message>
  1747. <message level="Debug" culprit="avalon_st_adapter_002.clk_bridge_0">Timing: ELA:1/0.000s</message>
  1748. <message level="Debug" culprit="avalon_st_adapter_002.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  1749. <message level="Debug" culprit="avalon_st_adapter_002.error_adapter_0">Timing: ELA:1/0.004s</message>
  1750. <message level="Debug" culprit="avalon_st_adapter_002">Timing: COM:3/0.013s/0.017s</message>
  1751. <message level="Progress" culprit="min"></message>
  1752. <message level="Progress" culprit="max"></message>
  1753. <message level="Progress" culprit="current"></message>
  1754. <message level="Info" culprit="avalon_st_adapter_003">Inserting error_adapter: error_adapter_0</message>
  1755. <message level="Debug" culprit="avalon_st_adapter_003.clk_bridge_0">Timing: ELA:1/0.000s</message>
  1756. <message level="Debug" culprit="avalon_st_adapter_003.rst_bridge_0">Timing: ELA:2/0.000s/0.000s</message>
  1757. <message level="Debug" culprit="avalon_st_adapter_003.error_adapter_0">Timing: ELA:1/0.004s</message>
  1758. <message level="Debug" culprit="avalon_st_adapter_003">Timing: COM:3/0.010s/0.010s</message>
  1759. <message
  1760. level="Debug"
  1761. culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform"><![CDATA[After transform: <b>40</b> modules, <b>133</b> connections]]></message>
  1762. <message level="Debug">Transform: ResetAdaptation</message>
  1763. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  1764. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  1765. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1766. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1767. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1768. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1769. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  1770. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  1771. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1772. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1773. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1774. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1775. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1776. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1777. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1778. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1779. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  1780. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  1781. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1782. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1783. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1784. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1785. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  1786. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  1787. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1788. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1789. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1790. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1791. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1792. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1793. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1794. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1795. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  1796. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  1797. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1798. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1799. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1800. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1801. <message level="Info" culprit="mm_interconnect_0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_mm_interconnect</b> "<b>mm_interconnect_0</b>"]]></message>
  1802. <message level="Debug" culprit="nios2_uc">queue size: 37 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
  1803. <message level="Info" culprit="nios2_data_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_data_master_translator</b>"]]></message>
  1804. <message level="Debug" culprit="nios2_uc">queue size: 35 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
  1805. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>jtag_uart_avalon_jtag_slave_translator</b>"]]></message>
  1806. <message level="Debug" culprit="nios2_uc">queue size: 31 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
  1807. <message level="Info" culprit="nios2_data_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_data_master_agent</b>"]]></message>
  1808. <message level="Debug" culprit="nios2_uc">queue size: 29 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
  1809. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>jtag_uart_avalon_jtag_slave_agent</b>"]]></message>
  1810. <message level="Debug" culprit="nios2_uc">queue size: 28 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
  1811. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>jtag_uart_avalon_jtag_slave_agent_rsp_fifo</b>"]]></message>
  1812. <message level="Debug" culprit="nios2_uc">queue size: 21 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router"</message>
  1813. <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  1814. <message level="Debug" culprit="nios2_uc">queue size: 19 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router_002"</message>
  1815. <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  1816. <message level="Debug" culprit="nios2_uc">queue size: 15 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_demux"</message>
  1817. <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  1818. <message level="Debug" culprit="nios2_uc">queue size: 13 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_mux"</message>
  1819. <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  1820. <message level="Debug" culprit="nios2_uc">queue size: 9 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_demux"</message>
  1821. <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  1822. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_mux"</message>
  1823. <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
  1824. <message level="Info"><![CDATA[Reusing file <b>/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  1825. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_avalon_st_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter"</message>
  1826. <message level="Progress" culprit="min"></message>
  1827. <message level="Progress" culprit="max"></message>
  1828. <message level="Progress" culprit="current"></message>
  1829. <message level="Debug">Transform: CustomInstructionTransform</message>
  1830. <message level="Debug">No custom instruction connections, skipping transform </message>
  1831. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  1832. <message level="Debug">Transform: MMTransform</message>
  1833. <message level="Debug">Transform: InterruptMapperTransform</message>
  1834. <message level="Debug">Transform: InterruptSyncTransform</message>
  1835. <message level="Debug">Transform: InterruptFanoutTransform</message>
  1836. <message level="Debug">Transform: AvalonStreamingTransform</message>
  1837. <message level="Debug">Transform: ResetAdaptation</message>
  1838. <message level="Debug" culprit="avalon_st_adapter"><![CDATA["<b>avalon_st_adapter</b>" reuses <b>error_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0</b>"]]></message>
  1839. <message level="Info" culprit="avalon_st_adapter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_st_adapter</b> "<b>avalon_st_adapter</b>"]]></message>
  1840. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  1841. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  1842. </messages>
  1843. </entity>
  1844. <entity
  1845. path="submodules/"
  1846. parameterizationKey="altera_irq_mapper:18.1:AUTO_DEVICE_FAMILY=Cyclone IV E,IRQ_MAP=0:0,NUM_RCVRS=1,SENDER_IRQ_WIDTH=32"
  1847. instancePathKey="nios2_uc:.:irq_mapper"
  1848. kind="altera_irq_mapper"
  1849. version="18.1"
  1850. name="nios2_uc_irq_mapper">
  1851. <parameter name="NUM_RCVRS" value="1" />
  1852. <parameter name="IRQ_MAP" value="0:0" />
  1853. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  1854. <parameter name="SENDER_IRQ_WIDTH" value="32" />
  1855. <generatedFiles>
  1856. <file
  1857. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_irq_mapper.sv"
  1858. type="SYSTEM_VERILOG"
  1859. attributes="" />
  1860. </generatedFiles>
  1861. <childGeneratedFiles/>
  1862. <sourceFiles>
  1863. <file
  1864. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
  1865. </sourceFiles>
  1866. <childSourceFiles/>
  1867. <instantiator instantiator="nios2_uc" as="irq_mapper" />
  1868. <messages>
  1869. <message level="Debug" culprit="nios2_uc">queue size: 40 starting:altera_irq_mapper "submodules/nios2_uc_irq_mapper"</message>
  1870. <message level="Info" culprit="irq_mapper"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_irq_mapper</b> "<b>irq_mapper</b>"]]></message>
  1871. </messages>
  1872. </entity>
  1873. <entity
  1874. path="submodules/"
  1875. parameterizationKey="altera_reset_controller:18.1:ADAPT_RESET_REQUEST=0,MIN_RST_ASSERTION_TIME=3,NUM_RESET_INPUTS=2,OUTPUT_RESET_SYNC_EDGES=deassert,RESET_REQUEST_PRESENT=1,RESET_REQ_EARLY_DSRT_TIME=1,RESET_REQ_WAIT_TIME=1,SYNC_DEPTH=2,USE_RESET_REQUEST_IN0=0,USE_RESET_REQUEST_IN1=0,USE_RESET_REQUEST_IN10=0,USE_RESET_REQUEST_IN11=0,USE_RESET_REQUEST_IN12=0,USE_RESET_REQUEST_IN13=0,USE_RESET_REQUEST_IN14=0,USE_RESET_REQUEST_IN15=0,USE_RESET_REQUEST_IN2=0,USE_RESET_REQUEST_IN3=0,USE_RESET_REQUEST_IN4=0,USE_RESET_REQUEST_IN5=0,USE_RESET_REQUEST_IN6=0,USE_RESET_REQUEST_IN7=0,USE_RESET_REQUEST_IN8=0,USE_RESET_REQUEST_IN9=0,USE_RESET_REQUEST_INPUT=0"
  1876. instancePathKey="nios2_uc:.:rst_controller"
  1877. kind="altera_reset_controller"
  1878. version="18.1"
  1879. name="altera_reset_controller">
  1880. <generatedFiles>
  1881. <file
  1882. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_reset_controller.v"
  1883. type="VERILOG"
  1884. attributes="" />
  1885. <file
  1886. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_reset_synchronizer.v"
  1887. type="VERILOG"
  1888. attributes="" />
  1889. <file
  1890. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_reset_controller.sdc"
  1891. type="SDC"
  1892. attributes="" />
  1893. </generatedFiles>
  1894. <childGeneratedFiles/>
  1895. <sourceFiles>
  1896. <file
  1897. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
  1898. </sourceFiles>
  1899. <childSourceFiles/>
  1900. <instantiator instantiator="nios2_uc" as="rst_controller" />
  1901. <messages>
  1902. <message level="Debug" culprit="nios2_uc">queue size: 39 starting:altera_reset_controller "submodules/altera_reset_controller"</message>
  1903. <message level="Info" culprit="rst_controller"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_reset_controller</b> "<b>rst_controller</b>"]]></message>
  1904. </messages>
  1905. </entity>
  1906. <entity
  1907. path="submodules/"
  1908. parameterizationKey="altera_nios2_gen2_unit:18.1:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,cpu_name=cpu,customInstSlavesSystemInfo=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_top=0,data_master_paddr_base=0,data_master_paddr_top=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_top=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_top=0,instruction_master_paddr_base=0,instruction_master_paddr_top=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchPredictionType=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_usedesignware=false,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_top=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_top=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_top=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_top=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_top=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_top=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_top=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_top=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings="
  1909. instancePathKey="nios2_uc:.:nios2:.:cpu"
  1910. kind="altera_nios2_gen2_unit"
  1911. version="18.1"
  1912. name="nios2_uc_nios2_cpu">
  1913. <parameter name="icache_burstType" value="None" />
  1914. <parameter name="setting_oci_version" value="1" />
  1915. <parameter name="mpx_enabled" value="false" />
  1916. <parameter name="ocimem_ramBlockType" value="Automatic" />
  1917. <parameter name="dcache_victim_buf_impl" value="ram" />
  1918. <parameter name="setting_exportPCB" value="false" />
  1919. <parameter name="setting_ic_ecc_present" value="true" />
  1920. <parameter name="dcache_size_derived" value="2048" />
  1921. <parameter name="mmu_udtlbNumEntries" value="6" />
  1922. <parameter name="tightly_coupled_instruction_master_3_paddr_top" value="0" />
  1923. <parameter
  1924. name="deviceFeaturesSystemInfo"
  1925. value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  1926. <parameter name="bht_ramBlockType" value="Automatic" />
  1927. <parameter name="instruction_master_high_performance_paddr_base" value="0" />
  1928. <parameter name="mmu_TLBMissExcSlave" value="None" />
  1929. <parameter name="impl" value="Tiny" />
  1930. <parameter name="regfile_ramBlockType" value="Automatic" />
  1931. <parameter name="dcache_size" value="2048" />
  1932. <parameter name="tightly_coupled_data_master_0_paddr_top" value="0" />
  1933. <parameter name="breakOffset" value="32" />
  1934. <parameter name="breakSlave" value="None" />
  1935. <parameter name="setting_branchPredictionType" value="Dynamic" />
  1936. <parameter name="exceptionOffset" value="32" />
  1937. <parameter name="flash_instruction_master_paddr_top" value="0" />
  1938. <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  1939. <parameter name="cpu_name" value="cpu" />
  1940. <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  1941. <parameter name="breakAbsoluteAddr" value="526368" />
  1942. <parameter name="setting_activateTrace" value="false" />
  1943. <parameter name="debug_offchiptrace" value="false" />
  1944. <parameter name="setting_avalonDebugPortPresent" value="false" />
  1945. <parameter name="dcache_numTCDM" value="0" />
  1946. <parameter name="setting_ecc_sim_test_ports" value="false" />
  1947. <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  1948. <parameter name="setting_showUnpublishedSettings" value="false" />
  1949. <parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
  1950. <parameter name="debug_debugReqSignals" value="false" />
  1951. <parameter name="master_addr_map" value="false" />
  1952. <parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
  1953. <parameter name="mmu_processIDNumBits" value="8" />
  1954. <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  1955. <parameter name="debug_onchiptrace" value="false" />
  1956. <parameter name="setting_rf_ecc_present" value="true" />
  1957. <parameter name="resetAbsoluteAddr" value="262144" />
  1958. <parameter name="tightly_coupled_data_master_1_paddr_top" value="0" />
  1959. <parameter name="ocimem_ramInit" value="false" />
  1960. <parameter name="internalIrqMaskSystemInfo" value="1" />
  1961. <parameter name="instruction_master_paddr_top" value="0" />
  1962. <parameter name="cpuArchRev" value="1" />
  1963. <parameter name="setting_dtcm_ecc_present" value="true" />
  1964. <parameter name="exceptionAbsoluteAddr" value="262176" />
  1965. <parameter name="setting_interruptControllerType" value="Internal" />
  1966. <parameter name="dcache_tagramBlockType" value="Automatic" />
  1967. <parameter name="debug_insttrace" value="false" />
  1968. <parameter name="icache_size" value="4096" />
  1969. <parameter name="setting_itcm_ecc_present" value="true" />
  1970. <parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
  1971. <parameter
  1972. name="dataSlaveMapParam"
  1973. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  1974. <parameter name="mpu_enabled" value="false" />
  1975. <parameter name="setting_ecc_present" value="false" />
  1976. <parameter name="mmu_TLBMissExcAbsAddr" value="0" />
  1977. <parameter name="mpu_useLimit" value="false" />
  1978. <parameter name="stratix_dspblock_shift_mul" value="false" />
  1979. <parameter name="icache_numTCIM" value="0" />
  1980. <parameter name="setting_usedesignware" value="false" />
  1981. <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  1982. <parameter name="instruction_master_high_performance_paddr_top" value="0" />
  1983. <parameter name="setting_ioregionBypassDCache" value="false" />
  1984. <parameter name="mmu_TLBMissExcOffset" value="0" />
  1985. <parameter name="mmu_enabled" value="false" />
  1986. <parameter name="mmu_uitlbNumEntries" value="4" />
  1987. <parameter name="register_file_por" value="false" />
  1988. <parameter name="faAddrWidth" value="1" />
  1989. <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  1990. <parameter name="tightly_coupled_data_master_3_paddr_top" value="0" />
  1991. <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  1992. <parameter name="setting_activateTestEndChecker" value="false" />
  1993. <parameter name="cpuID" value="0" />
  1994. <parameter name="resetrequest_enabled" value="true" />
  1995. <parameter name="setting_asic_enabled" value="false" />
  1996. <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  1997. <parameter name="setting_HDLSimCachesCleared" value="true" />
  1998. <parameter name="debug_triggerArming" value="true" />
  1999. <parameter name="debug_OCIOnchipTrace" value="_128" />
  2000. <parameter name="dataAddrWidth" value="20" />
  2001. <parameter name="setting_bit31BypassDCache" value="false" />
  2002. <parameter name="instAddrWidth" value="20" />
  2003. <parameter name="setting_asic_add_scan_mode_input" value="false" />
  2004. <parameter name="tightly_coupled_instruction_master_1_paddr_top" value="0" />
  2005. <parameter name="io_regionbase" value="0" />
  2006. <parameter name="setting_shadowRegisterSets" value="0" />
  2007. <parameter name="icache_ramBlockType" value="Automatic" />
  2008. <parameter name="data_master_paddr_top" value="0" />
  2009. <parameter name="translate_on" value=" &quot;synthesis translate_on&quot; " />
  2010. <parameter name="faSlaveMapParam" value="" />
  2011. <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  2012. <parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
  2013. <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  2014. <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  2015. <parameter name="fa_cache_line" value="2" />
  2016. <parameter name="debug_assignJtagInstanceID" value="false" />
  2017. <parameter name="instruction_master_paddr_base" value="0" />
  2018. <parameter name="userDefinedSettings" value="" />
  2019. <parameter name="clockFrequency" value="50000000" />
  2020. <parameter name="setting_activateMonitors" value="true" />
  2021. <parameter name="resetOffset" value="0" />
  2022. <parameter name="dcache_ramBlockType" value="Automatic" />
  2023. <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
  2024. <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  2025. <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  2026. <parameter name="tightly_coupled_instruction_master_0_paddr_top" value="0" />
  2027. <parameter name="setting_allow_break_inst" value="false" />
  2028. <parameter name="setting_asic_third_party_synthesis" value="false" />
  2029. <parameter name="io_regionsize" value="0" />
  2030. <parameter name="mpu_minInstRegionSize" value="12" />
  2031. <parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
  2032. <parameter name="translate_off" value=" &quot;synthesis translate_off&quot; " />
  2033. <parameter name="mpu_numOfInstRegion" value="8" />
  2034. <parameter name="flash_instruction_master_paddr_base" value="0" />
  2035. <parameter name="setting_exportdebuginfo" value="false" />
  2036. <parameter name="mmu_tlbPtrSz" value="7" />
  2037. <parameter name="cpuReset" value="false" />
  2038. <parameter name="resetSlave" value="onchip_memory2.s1" />
  2039. <parameter name="dcache_bursts_derived" value="false" />
  2040. <parameter name="multiplierType" value="no_mul" />
  2041. <parameter name="setting_removeRAMinit" value="false" />
  2042. <parameter name="icache_tagramBlockType" value="Automatic" />
  2043. <parameter name="debug_traceStorage" value="onchip_trace" />
  2044. <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  2045. <parameter name="fa_cache_linesize" value="0" />
  2046. <parameter name="setting_mmu_ecc_present" value="true" />
  2047. <parameter name="debug_datatrace" value="false" />
  2048. <parameter name="setting_HBreakTest" value="false" />
  2049. <parameter name="debug_hwbreakpoint" value="0" />
  2050. <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  2051. <parameter name="dataMasterHighPerformanceMapParam" value="" />
  2052. <parameter name="tightly_coupled_data_master_2_paddr_top" value="0" />
  2053. <parameter name="setting_disableocitrace" value="false" />
  2054. <parameter name="setting_bigEndian" value="false" />
  2055. <parameter name="mpu_minDataRegionSize" value="12" />
  2056. <parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
  2057. <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  2058. <parameter name="debug_jtagInstanceID" value="0" />
  2059. <parameter name="setting_showInternalSettings" value="false" />
  2060. <parameter name="setting_breakslaveoveride" value="false" />
  2061. <parameter name="debug_traceType" value="none" />
  2062. <parameter name="instructionMasterHighPerformanceMapParam" value="" />
  2063. <parameter name="tightly_coupled_instruction_master_2_paddr_top" value="0" />
  2064. <parameter name="setting_alwaysEncrypt" value="true" />
  2065. <parameter name="setting_oci_export_jtag_signals" value="false" />
  2066. <parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
  2067. <parameter name="data_master_high_performance_paddr_top" value="0" />
  2068. <parameter name="dcache_lineSize_derived" value="32" />
  2069. <parameter name="deviceFamilyName" value="Cyclone IV E" />
  2070. <parameter name="debug_datatrigger" value="0" />
  2071. <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  2072. <parameter name="debug_enabled" value="true" />
  2073. <parameter name="setting_export_large_RAMs" value="false" />
  2074. <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  2075. <parameter name="setting_dc_ecc_present" value="true" />
  2076. <parameter name="setting_support31bitdcachebypass" value="true" />
  2077. <parameter
  2078. name="instSlaveMapParam"
  2079. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81010&apos; end=&apos;0x81020&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81028&apos; end=&apos;0x81030&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  2080. <parameter name="dividerType" value="no_div" />
  2081. <parameter name="setting_bhtPtrSz" value="8" />
  2082. <parameter name="setting_exportvectors" value="false" />
  2083. <parameter name="tmr_enabled" value="false" />
  2084. <parameter name="data_master_paddr_base" value="0" />
  2085. <parameter name="breakSlave_derived" value="nios2.debug_mem_slave" />
  2086. <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  2087. <parameter name="mpu_numOfDataRegion" value="8" />
  2088. <parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
  2089. <parameter name="mmu_ramBlockType" value="Automatic" />
  2090. <parameter name="data_master_high_performance_paddr_base" value="0" />
  2091. <parameter name="cdx_enabled" value="false" />
  2092. <parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
  2093. <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  2094. <parameter name="dcache_bursts" value="false" />
  2095. <parameter name="tracefilename" value="" />
  2096. <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
  2097. <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  2098. <parameter name="setting_fast_register_read" value="false" />
  2099. <parameter name="mmu_tlbNumWays" value="16" />
  2100. <parameter name="shifterType" value="medium_le_shift" />
  2101. <generatedFiles>
  2102. <file
  2103. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"
  2104. type="MIF"
  2105. attributes="" />
  2106. <file
  2107. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v"
  2108. type="VERILOG"
  2109. attributes="" />
  2110. <file
  2111. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"
  2112. type="VERILOG"
  2113. attributes="" />
  2114. <file
  2115. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"
  2116. type="MIF"
  2117. attributes="" />
  2118. <file
  2119. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"
  2120. type="VERILOG"
  2121. attributes="" />
  2122. <file
  2123. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"
  2124. type="VERILOG"
  2125. attributes="" />
  2126. <file
  2127. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"
  2128. type="MIF"
  2129. attributes="" />
  2130. <file
  2131. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.sdc"
  2132. type="SDC"
  2133. attributes="" />
  2134. <file
  2135. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v"
  2136. type="VERILOG"
  2137. attributes="" />
  2138. </generatedFiles>
  2139. <childGeneratedFiles/>
  2140. <sourceFiles>
  2141. <file
  2142. path="/opt/intelFPGA/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" />
  2143. </sourceFiles>
  2144. <childSourceFiles/>
  2145. <instantiator instantiator="nios2_uc_nios2" as="cpu" />
  2146. <messages>
  2147. <message level="Debug" culprit="nios2_uc">queue size: 38 starting:altera_nios2_gen2_unit "submodules/nios2_uc_nios2_cpu"</message>
  2148. <message level="Info" culprit="cpu">Starting RTL generation for module 'nios2_uc_nios2_cpu'</message>
  2149. <message level="Info" culprit="cpu"> Generation command is [exec /opt/intelFPGA/18.1/quartus/linux64//eperlcmd -I /opt/intelFPGA/18.1/quartus/linux64//perl/lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/europa -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin/perl_lib -I /opt/intelFPGA/18.1/quartus/sopc_builder/bin -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /opt/intelFPGA/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=nios2_uc_nios2_cpu --dir=/tmp/alt8585_5427978115204221252.dir/0007_cpu_gen/ --quartus_bindir=/opt/intelFPGA/18.1/quartus/linux64/ --verilog --config=/tmp/alt8585_5427978115204221252.dir/0007_cpu_gen//nios2_uc_nios2_cpu_processor_configuration.pl --do_build_sim=0 ]</message>
  2150. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Starting Nios II generation</message>
  2151. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Checking for plaintext license.</message>
  2152. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Couldn't query license setup in Quartus directory /opt/intelFPGA/18.1/quartus/linux64/</message>
  2153. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Defaulting to contents of LM_LICENSE_FILE environment variable</message>
  2154. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) LM_LICENSE_FILE environment variable is empty</message>
  2155. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Plaintext license not found.</message>
  2156. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) No license required to generate encrypted Nios II/e.</message>
  2157. <message level="Info" culprit="cpu"># 2020.11.19 16:37:53 (*) Elaborating CPU configuration settings</message>
  2158. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Creating all objects for CPU</message>
  2159. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Generating RTL from CPU objects</message>
  2160. <message level="Info" culprit="cpu"># 2020.11.19 16:37:54 (*) Creating plain-text RTL</message>
  2161. <message level="Info" culprit="cpu"># 2020.11.19 16:37:55 (*) Done Nios II generation</message>
  2162. <message level="Info" culprit="cpu">Done RTL generation for module 'nios2_uc_nios2_cpu'</message>
  2163. <message level="Info" culprit="cpu"><![CDATA["<b>nios2</b>" instantiated <b>altera_nios2_gen2_unit</b> "<b>cpu</b>"]]></message>
  2164. </messages>
  2165. </entity>
  2166. <entity
  2167. path="submodules/"
  2168. parameterizationKey="altera_merlin_master_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,SYNC_RESET=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEDATA=1,USE_WRITERESPONSE=0"
  2169. instancePathKey="nios2_uc:.:mm_interconnect_0:.:nios2_data_master_translator"
  2170. kind="altera_merlin_master_translator"
  2171. version="18.1"
  2172. name="altera_merlin_master_translator">
  2173. <parameter name="SYNC_RESET" value="0" />
  2174. <generatedFiles>
  2175. <file
  2176. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv"
  2177. type="SYSTEM_VERILOG"
  2178. attributes="" />
  2179. </generatedFiles>
  2180. <childGeneratedFiles/>
  2181. <sourceFiles>
  2182. <file
  2183. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  2184. </sourceFiles>
  2185. <childSourceFiles/>
  2186. <instantiator
  2187. instantiator="nios2_uc_mm_interconnect_0"
  2188. as="nios2_data_master_translator,nios2_instruction_master_translator" />
  2189. <messages>
  2190. <message level="Debug" culprit="nios2_uc">queue size: 37 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
  2191. <message level="Info" culprit="nios2_data_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_data_master_translator</b>"]]></message>
  2192. </messages>
  2193. </entity>
  2194. <entity
  2195. path="submodules/"
  2196. parameterizationKey="altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0"
  2197. instancePathKey="nios2_uc:.:mm_interconnect_0:.:jtag_uart_avalon_jtag_slave_translator"
  2198. kind="altera_merlin_slave_translator"
  2199. version="18.1"
  2200. name="altera_merlin_slave_translator">
  2201. <generatedFiles>
  2202. <file
  2203. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv"
  2204. type="SYSTEM_VERILOG"
  2205. attributes="" />
  2206. </generatedFiles>
  2207. <childGeneratedFiles/>
  2208. <sourceFiles>
  2209. <file
  2210. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  2211. </sourceFiles>
  2212. <childSourceFiles/>
  2213. <instantiator
  2214. instantiator="nios2_uc_mm_interconnect_0"
  2215. as="jtag_uart_avalon_jtag_slave_translator,nios2_debug_mem_slave_translator,onchip_memory2_s1_translator,pio_LED_s1_translator" />
  2216. <messages>
  2217. <message level="Debug" culprit="nios2_uc">queue size: 35 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
  2218. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>jtag_uart_avalon_jtag_slave_translator</b>"]]></message>
  2219. </messages>
  2220. </entity>
  2221. <entity
  2222. path="submodules/"
  2223. parameterizationKey="altera_merlin_master_agent:18.1:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  2224. &lt;address_map&gt;
  2225. &lt;slave
  2226. id=&quot;0&quot;
  2227. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  2228. start=&quot;0x0000000000081028&quot;
  2229. end=&quot;0x00000000000081030&quot;
  2230. responds=&quot;1&quot;
  2231. user_default=&quot;0&quot; /&gt;
  2232. &lt;slave
  2233. id=&quot;1&quot;
  2234. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  2235. start=&quot;0x0000000000080800&quot;
  2236. end=&quot;0x00000000000081000&quot;
  2237. responds=&quot;1&quot;
  2238. user_default=&quot;0&quot; /&gt;
  2239. &lt;slave
  2240. id=&quot;2&quot;
  2241. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  2242. start=&quot;0x0000000000040000&quot;
  2243. end=&quot;0x00000000000080000&quot;
  2244. responds=&quot;1&quot;
  2245. user_default=&quot;0&quot; /&gt;
  2246. &lt;slave
  2247. id=&quot;3&quot;
  2248. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  2249. start=&quot;0x0000000000081010&quot;
  2250. end=&quot;0x00000000000081020&quot;
  2251. responds=&quot;1&quot;
  2252. user_default=&quot;0&quot; /&gt;
  2253. &lt;/address_map&gt;
  2254. ,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=88,PKT_CACHE_L=85,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=81,PKT_THREAD_ID_L=81,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
  2255. instancePathKey="nios2_uc:.:mm_interconnect_0:.:nios2_data_master_agent"
  2256. kind="altera_merlin_master_agent"
  2257. version="18.1"
  2258. name="altera_merlin_master_agent">
  2259. <generatedFiles>
  2260. <file
  2261. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv"
  2262. type="SYSTEM_VERILOG"
  2263. attributes="" />
  2264. </generatedFiles>
  2265. <childGeneratedFiles/>
  2266. <sourceFiles>
  2267. <file
  2268. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  2269. </sourceFiles>
  2270. <childSourceFiles/>
  2271. <instantiator
  2272. instantiator="nios2_uc_mm_interconnect_0"
  2273. as="nios2_data_master_agent,nios2_instruction_master_agent" />
  2274. <messages>
  2275. <message level="Debug" culprit="nios2_uc">queue size: 31 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
  2276. <message level="Info" culprit="nios2_data_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_data_master_agent</b>"]]></message>
  2277. </messages>
  2278. </entity>
  2279. <entity
  2280. path="submodules/"
  2281. parameterizationKey="altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=0,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=78,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=4,ST_DATA_W=94,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
  2282. instancePathKey="nios2_uc:.:mm_interconnect_0:.:jtag_uart_avalon_jtag_slave_agent"
  2283. kind="altera_merlin_slave_agent"
  2284. version="18.1"
  2285. name="altera_merlin_slave_agent">
  2286. <generatedFiles>
  2287. <file
  2288. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv"
  2289. type="SYSTEM_VERILOG"
  2290. attributes="" />
  2291. <file
  2292. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
  2293. type="SYSTEM_VERILOG"
  2294. attributes="" />
  2295. </generatedFiles>
  2296. <childGeneratedFiles/>
  2297. <sourceFiles>
  2298. <file
  2299. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  2300. </sourceFiles>
  2301. <childSourceFiles/>
  2302. <instantiator
  2303. instantiator="nios2_uc_mm_interconnect_0"
  2304. as="jtag_uart_avalon_jtag_slave_agent,nios2_debug_mem_slave_agent,onchip_memory2_s1_agent,pio_LED_s1_agent" />
  2305. <messages>
  2306. <message level="Debug" culprit="nios2_uc">queue size: 29 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
  2307. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>jtag_uart_avalon_jtag_slave_agent</b>"]]></message>
  2308. </messages>
  2309. </entity>
  2310. <entity
  2311. path="submodules/"
  2312. parameterizationKey="altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=95,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0"
  2313. instancePathKey="nios2_uc:.:mm_interconnect_0:.:jtag_uart_avalon_jtag_slave_agent_rsp_fifo"
  2314. kind="altera_avalon_sc_fifo"
  2315. version="18.1"
  2316. name="altera_avalon_sc_fifo">
  2317. <parameter name="EXPLICIT_MAXCHANNEL" value="0" />
  2318. <parameter name="ENABLE_EXPLICIT_MAXCHANNEL" value="false" />
  2319. <generatedFiles>
  2320. <file
  2321. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_avalon_sc_fifo.v"
  2322. type="VERILOG"
  2323. attributes="" />
  2324. </generatedFiles>
  2325. <childGeneratedFiles/>
  2326. <sourceFiles>
  2327. <file
  2328. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
  2329. <file
  2330. path="/opt/intelFPGA/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  2331. </sourceFiles>
  2332. <childSourceFiles/>
  2333. <instantiator
  2334. instantiator="nios2_uc_mm_interconnect_0"
  2335. as="jtag_uart_avalon_jtag_slave_agent_rsp_fifo,nios2_debug_mem_slave_agent_rsp_fifo,onchip_memory2_s1_agent_rsp_fifo,pio_LED_s1_agent_rsp_fifo" />
  2336. <messages>
  2337. <message level="Debug" culprit="nios2_uc">queue size: 28 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
  2338. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>jtag_uart_avalon_jtag_slave_agent_rsp_fifo</b>"]]></message>
  2339. </messages>
  2340. </entity>
  2341. <entity
  2342. path="submodules/"
  2343. parameterizationKey="altera_merlin_router:18.1:CHANNEL_ID=0100,0010,1000,0001,DECODER_TYPE=0,DEFAULT_CHANNEL=2,DEFAULT_DESTID=2,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=2,1,3,0,END_ADDRESS=0x80000,0x81000,0x81020,0x81030,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,SLAVES_INFO=2:0100:0x40000:0x80000:both:1:0:0:1,1:0010:0x80800:0x81000:both:1:0:0:1,3:1000:0x81010:0x81020:both:1:0:0:1,0:0001:0x81028:0x81030:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,0x81010,0x81028,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,both,both,both"
  2344. instancePathKey="nios2_uc:.:mm_interconnect_0:.:router"
  2345. kind="altera_merlin_router"
  2346. version="18.1"
  2347. name="nios2_uc_mm_interconnect_0_router">
  2348. <parameter name="ST_CHANNEL_W" value="4" />
  2349. <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  2350. <parameter name="PKT_TRANS_READ" value="59" />
  2351. <parameter name="START_ADDRESS" value="0x40000,0x80800,0x81010,0x81028" />
  2352. <parameter name="DEFAULT_CHANNEL" value="2" />
  2353. <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  2354. <parameter
  2355. name="SLAVES_INFO"
  2356. value="2:0100:0x40000:0x80000:both:1:0:0:1,1:0010:0x80800:0x81000:both:1:0:0:1,3:1000:0x81010:0x81020:both:1:0:0:1,0:0001:0x81028:0x81030:both:1:0:0:1" />
  2357. <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  2358. <parameter name="PKT_ADDR_H" value="55" />
  2359. <parameter name="PKT_DEST_ID_H" value="80" />
  2360. <parameter name="PKT_ADDR_L" value="36" />
  2361. <parameter name="PKT_DEST_ID_L" value="79" />
  2362. <parameter
  2363. name="MERLIN_PACKET_FORMAT"
  2364. value="ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  2365. <parameter name="CHANNEL_ID" value="0100,0010,1000,0001" />
  2366. <parameter name="TYPE_OF_TRANSACTION" value="both,both,both,both" />
  2367. <parameter name="SECURED_RANGE_PAIRS" value="0,0,0,0" />
  2368. <parameter name="SPAN_OFFSET" value="" />
  2369. <parameter name="ST_DATA_W" value="94" />
  2370. <parameter name="SECURED_RANGE_LIST" value="0,0,0,0" />
  2371. <parameter name="DECODER_TYPE" value="0" />
  2372. <parameter name="PKT_PROTECTION_H" value="84" />
  2373. <parameter name="END_ADDRESS" value="0x80000,0x81000,0x81020,0x81030" />
  2374. <parameter name="PKT_PROTECTION_L" value="82" />
  2375. <parameter name="PKT_TRANS_WRITE" value="58" />
  2376. <parameter name="DEFAULT_DESTID" value="2" />
  2377. <parameter name="DESTINATION_ID" value="2,1,3,0" />
  2378. <parameter name="NON_SECURED_TAG" value="1,1,1,1" />
  2379. <generatedFiles>
  2380. <file
  2381. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv"
  2382. type="SYSTEM_VERILOG"
  2383. attributes="" />
  2384. </generatedFiles>
  2385. <childGeneratedFiles/>
  2386. <sourceFiles>
  2387. <file
  2388. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  2389. </sourceFiles>
  2390. <childSourceFiles/>
  2391. <instantiator instantiator="nios2_uc_mm_interconnect_0" as="router,router_001" />
  2392. <messages>
  2393. <message level="Debug" culprit="nios2_uc">queue size: 21 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router"</message>
  2394. <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  2395. </messages>
  2396. </entity>
  2397. <entity
  2398. path="submodules/"
  2399. parameterizationKey="altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=80,PKT_DEST_ID_L=79,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=4,ST_DATA_W=94,TYPE_OF_TRANSACTION=both,read"
  2400. instancePathKey="nios2_uc:.:mm_interconnect_0:.:router_002"
  2401. kind="altera_merlin_router"
  2402. version="18.1"
  2403. name="nios2_uc_mm_interconnect_0_router_002">
  2404. <parameter name="ST_CHANNEL_W" value="4" />
  2405. <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  2406. <parameter name="PKT_TRANS_READ" value="59" />
  2407. <parameter name="START_ADDRESS" value="0x0,0x0" />
  2408. <parameter name="DEFAULT_CHANNEL" value="0" />
  2409. <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  2410. <parameter
  2411. name="SLAVES_INFO"
  2412. value="0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1" />
  2413. <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  2414. <parameter name="PKT_ADDR_H" value="55" />
  2415. <parameter name="PKT_DEST_ID_H" value="80" />
  2416. <parameter name="PKT_ADDR_L" value="36" />
  2417. <parameter name="PKT_DEST_ID_L" value="79" />
  2418. <parameter
  2419. name="MERLIN_PACKET_FORMAT"
  2420. value="ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  2421. <parameter name="CHANNEL_ID" value="01,10" />
  2422. <parameter name="TYPE_OF_TRANSACTION" value="both,read" />
  2423. <parameter name="SECURED_RANGE_PAIRS" value="0,0" />
  2424. <parameter name="SPAN_OFFSET" value="" />
  2425. <parameter name="ST_DATA_W" value="94" />
  2426. <parameter name="SECURED_RANGE_LIST" value="0,0" />
  2427. <parameter name="DECODER_TYPE" value="1" />
  2428. <parameter name="PKT_PROTECTION_H" value="84" />
  2429. <parameter name="END_ADDRESS" value="0x0,0x0" />
  2430. <parameter name="PKT_PROTECTION_L" value="82" />
  2431. <parameter name="PKT_TRANS_WRITE" value="58" />
  2432. <parameter name="DEFAULT_DESTID" value="0" />
  2433. <parameter name="DESTINATION_ID" value="0,1" />
  2434. <parameter name="NON_SECURED_TAG" value="1,1" />
  2435. <generatedFiles>
  2436. <file
  2437. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv"
  2438. type="SYSTEM_VERILOG"
  2439. attributes="" />
  2440. </generatedFiles>
  2441. <childGeneratedFiles/>
  2442. <sourceFiles>
  2443. <file
  2444. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  2445. </sourceFiles>
  2446. <childSourceFiles/>
  2447. <instantiator
  2448. instantiator="nios2_uc_mm_interconnect_0"
  2449. as="router_002,router_003,router_004,router_005" />
  2450. <messages>
  2451. <message level="Debug" culprit="nios2_uc">queue size: 19 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router_002"</message>
  2452. <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  2453. </messages>
  2454. </entity>
  2455. <entity
  2456. path="submodules/"
  2457. parameterizationKey="altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=4,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1"
  2458. instancePathKey="nios2_uc:.:mm_interconnect_0:.:cmd_demux"
  2459. kind="altera_merlin_demultiplexer"
  2460. version="18.1"
  2461. name="nios2_uc_mm_interconnect_0_cmd_demux">
  2462. <parameter
  2463. name="MERLIN_PACKET_FORMAT"
  2464. value="ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  2465. <parameter name="ST_CHANNEL_W" value="4" />
  2466. <parameter name="AUTO_CLK_CLOCK_RATE" value="50000000" />
  2467. <parameter name="VALID_WIDTH" value="1" />
  2468. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  2469. <parameter name="ST_DATA_W" value="94" />
  2470. <parameter name="NUM_OUTPUTS" value="4" />
  2471. <generatedFiles>
  2472. <file
  2473. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv"
  2474. type="SYSTEM_VERILOG"
  2475. attributes="" />
  2476. </generatedFiles>
  2477. <childGeneratedFiles/>
  2478. <sourceFiles>
  2479. <file
  2480. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  2481. </sourceFiles>
  2482. <childSourceFiles/>
  2483. <instantiator
  2484. instantiator="nios2_uc_mm_interconnect_0"
  2485. as="cmd_demux,cmd_demux_001" />
  2486. <messages>
  2487. <message level="Debug" culprit="nios2_uc">queue size: 15 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_demux"</message>
  2488. <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  2489. </messages>
  2490. </entity>
  2491. <entity
  2492. path="submodules/"
  2493. parameterizationKey="altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0"
  2494. instancePathKey="nios2_uc:.:mm_interconnect_0:.:cmd_mux"
  2495. kind="altera_merlin_multiplexer"
  2496. version="18.1"
  2497. name="nios2_uc_mm_interconnect_0_cmd_mux">
  2498. <parameter
  2499. name="MERLIN_PACKET_FORMAT"
  2500. value="ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  2501. <parameter name="ST_CHANNEL_W" value="4" />
  2502. <parameter name="ARBITRATION_SHARES" value="1,1" />
  2503. <parameter name="NUM_INPUTS" value="2" />
  2504. <parameter name="PIPELINE_ARB" value="1" />
  2505. <parameter name="ARBITRATION_SCHEME" value="round-robin" />
  2506. <parameter name="ST_DATA_W" value="94" />
  2507. <parameter name="USE_EXTERNAL_ARB" value="0" />
  2508. <parameter name="PKT_TRANS_LOCK" value="60" />
  2509. <generatedFiles>
  2510. <file
  2511. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv"
  2512. type="SYSTEM_VERILOG"
  2513. attributes="" />
  2514. <file
  2515. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  2516. type="SYSTEM_VERILOG"
  2517. attributes="" />
  2518. </generatedFiles>
  2519. <childGeneratedFiles/>
  2520. <sourceFiles>
  2521. <file
  2522. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  2523. </sourceFiles>
  2524. <childSourceFiles/>
  2525. <instantiator
  2526. instantiator="nios2_uc_mm_interconnect_0"
  2527. as="cmd_mux,cmd_mux_001,cmd_mux_002,cmd_mux_003" />
  2528. <messages>
  2529. <message level="Debug" culprit="nios2_uc">queue size: 13 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_mux"</message>
  2530. <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  2531. </messages>
  2532. </entity>
  2533. <entity
  2534. path="submodules/"
  2535. parameterizationKey="altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=4,ST_DATA_W=94,VALID_WIDTH=1"
  2536. instancePathKey="nios2_uc:.:mm_interconnect_0:.:rsp_demux"
  2537. kind="altera_merlin_demultiplexer"
  2538. version="18.1"
  2539. name="nios2_uc_mm_interconnect_0_rsp_demux">
  2540. <parameter
  2541. name="MERLIN_PACKET_FORMAT"
  2542. value="ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  2543. <parameter name="ST_CHANNEL_W" value="4" />
  2544. <parameter name="AUTO_CLK_CLOCK_RATE" value="50000000" />
  2545. <parameter name="VALID_WIDTH" value="1" />
  2546. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  2547. <parameter name="ST_DATA_W" value="94" />
  2548. <parameter name="NUM_OUTPUTS" value="2" />
  2549. <generatedFiles>
  2550. <file
  2551. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv"
  2552. type="SYSTEM_VERILOG"
  2553. attributes="" />
  2554. </generatedFiles>
  2555. <childGeneratedFiles/>
  2556. <sourceFiles>
  2557. <file
  2558. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  2559. </sourceFiles>
  2560. <childSourceFiles/>
  2561. <instantiator
  2562. instantiator="nios2_uc_mm_interconnect_0"
  2563. as="rsp_demux,rsp_demux_001,rsp_demux_002,rsp_demux_003" />
  2564. <messages>
  2565. <message level="Debug" culprit="nios2_uc">queue size: 9 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_demux"</message>
  2566. <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  2567. </messages>
  2568. </entity>
  2569. <entity
  2570. path="submodules/"
  2571. parameterizationKey="altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=4,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=4,ST_DATA_W=94,USE_EXTERNAL_ARB=0"
  2572. instancePathKey="nios2_uc:.:mm_interconnect_0:.:rsp_mux"
  2573. kind="altera_merlin_multiplexer"
  2574. version="18.1"
  2575. name="nios2_uc_mm_interconnect_0_rsp_mux">
  2576. <parameter
  2577. name="MERLIN_PACKET_FORMAT"
  2578. value="ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:79) src_id(78:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  2579. <parameter name="ST_CHANNEL_W" value="4" />
  2580. <parameter name="ARBITRATION_SHARES" value="1,1,1,1" />
  2581. <parameter name="NUM_INPUTS" value="4" />
  2582. <parameter name="PIPELINE_ARB" value="0" />
  2583. <parameter name="ARBITRATION_SCHEME" value="no-arb" />
  2584. <parameter name="ST_DATA_W" value="94" />
  2585. <parameter name="USE_EXTERNAL_ARB" value="0" />
  2586. <parameter name="PKT_TRANS_LOCK" value="60" />
  2587. <generatedFiles>
  2588. <file
  2589. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv"
  2590. type="SYSTEM_VERILOG"
  2591. attributes="" />
  2592. <file
  2593. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  2594. type="SYSTEM_VERILOG"
  2595. attributes="" />
  2596. </generatedFiles>
  2597. <childGeneratedFiles/>
  2598. <sourceFiles>
  2599. <file
  2600. path="/opt/intelFPGA/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  2601. </sourceFiles>
  2602. <childSourceFiles/>
  2603. <instantiator instantiator="nios2_uc_mm_interconnect_0" as="rsp_mux,rsp_mux_001" />
  2604. <messages>
  2605. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_mux"</message>
  2606. <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
  2607. <message level="Info"><![CDATA[Reusing file <b>/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  2608. </messages>
  2609. </entity>
  2610. <entity
  2611. path="submodules/"
  2612. parameterizationKey="altera_avalon_st_adapter:18.1:AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_FAMILY=Cyclone IV E,AUTO_DEVICE_SPEEDGRADE=,inBitsPerSymbol=34,inChannelWidth=0,inDataWidth=34,inEmptyWidth=1,inErrorDescriptor=,inErrorWidth=0,inMaxChannel=0,inReadyLatency=0,inUseEmptyPort=0,inUsePackets=0,inUseReady=1,inUseValid=1,outChannelWidth=0,outDataWidth=34,outEmptyWidth=1,outErrorDescriptor=,outErrorWidth=1,outMaxChannel=0,outReadyLatency=0,outUseEmptyPort=0,outUseReady=1,outUseValid=1(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=0,EXPLICIT_CLOCK_RATE=0,NUM_CLOCK_OUTPUTS=1)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=0,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=0)(error_adapter:18.1:inBitsPerSymbol=34,inChannelWidth=0,inErrorDescriptor=,inErrorWidth=0,inMaxChannel=0,inReadyLatency=0,inSymbolsPerBeat=1,inUseEmpty=false,inUseEmptyPort=NO,inUsePackets=false,inUseReady=true,outErrorDescriptor=,outErrorWidth=1)(clock:18.1:)(clock:18.1:)(reset:18.1:)"
  2613. instancePathKey="nios2_uc:.:mm_interconnect_0:.:avalon_st_adapter"
  2614. kind="altera_avalon_st_adapter"
  2615. version="18.1"
  2616. name="nios2_uc_mm_interconnect_0_avalon_st_adapter">
  2617. <parameter name="inUseValid" value="1" />
  2618. <parameter name="inBitsPerSymbol" value="34" />
  2619. <parameter name="outUseEmptyPort" value="0" />
  2620. <parameter name="inChannelWidth" value="0" />
  2621. <parameter name="outErrorWidth" value="1" />
  2622. <parameter name="outUseValid" value="1" />
  2623. <parameter name="outMaxChannel" value="0" />
  2624. <parameter name="inErrorDescriptor" value="" />
  2625. <parameter name="inUsePackets" value="0" />
  2626. <parameter name="inErrorWidth" value="0" />
  2627. <parameter name="inEmptyWidth" value="1" />
  2628. <parameter name="inUseReady" value="1" />
  2629. <parameter name="outReadyLatency" value="0" />
  2630. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  2631. <parameter name="outDataWidth" value="34" />
  2632. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="" />
  2633. <parameter name="inUseEmptyPort" value="0" />
  2634. <parameter name="outChannelWidth" value="0" />
  2635. <parameter name="inMaxChannel" value="0" />
  2636. <parameter name="outUseReady" value="1" />
  2637. <parameter name="inReadyLatency" value="0" />
  2638. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  2639. <parameter name="inDataWidth" value="34" />
  2640. <parameter name="outErrorDescriptor" value="" />
  2641. <parameter name="outEmptyWidth" value="1" />
  2642. <generatedFiles>
  2643. <file
  2644. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v"
  2645. type="VERILOG" />
  2646. </generatedFiles>
  2647. <childGeneratedFiles>
  2648. <file
  2649. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  2650. type="SYSTEM_VERILOG"
  2651. attributes="" />
  2652. </childGeneratedFiles>
  2653. <sourceFiles>
  2654. <file
  2655. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" />
  2656. </sourceFiles>
  2657. <childSourceFiles>
  2658. <file
  2659. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  2660. </childSourceFiles>
  2661. <instantiator
  2662. instantiator="nios2_uc_mm_interconnect_0"
  2663. as="avalon_st_adapter,avalon_st_adapter_001,avalon_st_adapter_002,avalon_st_adapter_003" />
  2664. <messages>
  2665. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_avalon_st_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter"</message>
  2666. <message level="Progress" culprit="min"></message>
  2667. <message level="Progress" culprit="max"></message>
  2668. <message level="Progress" culprit="current"></message>
  2669. <message level="Debug">Transform: CustomInstructionTransform</message>
  2670. <message level="Debug">No custom instruction connections, skipping transform </message>
  2671. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  2672. <message level="Debug">Transform: MMTransform</message>
  2673. <message level="Debug">Transform: InterruptMapperTransform</message>
  2674. <message level="Debug">Transform: InterruptSyncTransform</message>
  2675. <message level="Debug">Transform: InterruptFanoutTransform</message>
  2676. <message level="Debug">Transform: AvalonStreamingTransform</message>
  2677. <message level="Debug">Transform: ResetAdaptation</message>
  2678. <message level="Debug" culprit="avalon_st_adapter"><![CDATA["<b>avalon_st_adapter</b>" reuses <b>error_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0</b>"]]></message>
  2679. <message level="Info" culprit="avalon_st_adapter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_st_adapter</b> "<b>avalon_st_adapter</b>"]]></message>
  2680. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  2681. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  2682. </messages>
  2683. </entity>
  2684. <entity
  2685. path="submodules/"
  2686. parameterizationKey="error_adapter:18.1:inBitsPerSymbol=34,inChannelWidth=0,inErrorDescriptor=,inErrorWidth=0,inMaxChannel=0,inReadyLatency=0,inSymbolsPerBeat=1,inUseEmpty=false,inUseEmptyPort=NO,inUsePackets=false,inUseReady=true,outErrorDescriptor=,outErrorWidth=1"
  2687. instancePathKey="nios2_uc:.:mm_interconnect_0:.:avalon_st_adapter:.:error_adapter_0"
  2688. kind="error_adapter"
  2689. version="18.1"
  2690. name="nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0">
  2691. <parameter name="inErrorWidth" value="0" />
  2692. <parameter name="inUseReady" value="true" />
  2693. <parameter name="inBitsPerSymbol" value="34" />
  2694. <parameter name="inChannelWidth" value="0" />
  2695. <parameter name="inSymbolsPerBeat" value="1" />
  2696. <parameter name="inUseEmptyPort" value="NO" />
  2697. <parameter name="outErrorWidth" value="1" />
  2698. <parameter name="inMaxChannel" value="0" />
  2699. <parameter name="inReadyLatency" value="0" />
  2700. <parameter name="outErrorDescriptor" value="" />
  2701. <parameter name="inUseEmpty" value="false" />
  2702. <parameter name="inErrorDescriptor" value="" />
  2703. <parameter name="inUsePackets" value="false" />
  2704. <generatedFiles>
  2705. <file
  2706. path="/home/sstudent/niosii_20201119/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  2707. type="SYSTEM_VERILOG"
  2708. attributes="" />
  2709. </generatedFiles>
  2710. <childGeneratedFiles/>
  2711. <sourceFiles>
  2712. <file
  2713. path="/opt/intelFPGA/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  2714. </sourceFiles>
  2715. <childSourceFiles/>
  2716. <instantiator
  2717. instantiator="nios2_uc_mm_interconnect_0_avalon_st_adapter"
  2718. as="error_adapter_0" />
  2719. <messages>
  2720. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  2721. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  2722. </messages>
  2723. </entity>
  2724. </deploy>