nios2_uc.qsys 44 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <system name="$${FILENAME}">
  3. <component
  4. name="$${FILENAME}"
  5. displayName="$${FILENAME}"
  6. version="1.0"
  7. description=""
  8. tags=""
  9. categories="System" />
  10. <parameter name="bonusData"><![CDATA[bonusData
  11. {
  12. element clk_50
  13. {
  14. datum _sortIndex
  15. {
  16. value = "0";
  17. type = "int";
  18. }
  19. }
  20. element jtag_uart
  21. {
  22. datum _sortIndex
  23. {
  24. value = "3";
  25. type = "int";
  26. }
  27. }
  28. element jtag_uart.avalon_jtag_slave
  29. {
  30. datum baseAddress
  31. {
  32. value = "528520";
  33. type = "String";
  34. }
  35. }
  36. element lcd_16207
  37. {
  38. datum _sortIndex
  39. {
  40. value = "6";
  41. type = "int";
  42. }
  43. }
  44. element lcd_16207.control_slave
  45. {
  46. datum baseAddress
  47. {
  48. value = "528496";
  49. type = "String";
  50. }
  51. }
  52. element nios2
  53. {
  54. datum _sortIndex
  55. {
  56. value = "1";
  57. type = "int";
  58. }
  59. }
  60. element nios2.debug_mem_slave
  61. {
  62. datum baseAddress
  63. {
  64. value = "526336";
  65. type = "String";
  66. }
  67. }
  68. element nios_custom_instr_floating_point_0
  69. {
  70. datum _sortIndex
  71. {
  72. value = "8";
  73. type = "int";
  74. }
  75. }
  76. element onchip_memory2
  77. {
  78. datum _sortIndex
  79. {
  80. value = "2";
  81. type = "int";
  82. }
  83. }
  84. element onchip_memory2.s1
  85. {
  86. datum baseAddress
  87. {
  88. value = "262144";
  89. type = "String";
  90. }
  91. }
  92. element pio_BUTTON
  93. {
  94. datum _sortIndex
  95. {
  96. value = "7";
  97. type = "int";
  98. }
  99. }
  100. element pio_BUTTON.s1
  101. {
  102. datum baseAddress
  103. {
  104. value = "528448";
  105. type = "String";
  106. }
  107. }
  108. element pio_LED
  109. {
  110. datum _sortIndex
  111. {
  112. value = "4";
  113. type = "int";
  114. }
  115. }
  116. element pio_LED.s1
  117. {
  118. datum baseAddress
  119. {
  120. value = "528480";
  121. type = "String";
  122. }
  123. }
  124. element pio_MATRIX
  125. {
  126. datum _sortIndex
  127. {
  128. value = "5";
  129. type = "int";
  130. }
  131. }
  132. element pio_MATRIX.s1
  133. {
  134. datum baseAddress
  135. {
  136. value = "528464";
  137. type = "String";
  138. }
  139. }
  140. }
  141. ]]></parameter>
  142. <parameter name="clockCrossingAdapter" value="HANDSHAKE" />
  143. <parameter name="device" value="EP4CE115F29C7" />
  144. <parameter name="deviceFamily" value="Cyclone IV E" />
  145. <parameter name="deviceSpeedGrade" value="7" />
  146. <parameter name="fabricMode" value="QSYS" />
  147. <parameter name="generateLegacySim" value="false" />
  148. <parameter name="generationId" value="0" />
  149. <parameter name="globalResetBus" value="false" />
  150. <parameter name="hdlLanguage" value="VERILOG" />
  151. <parameter name="hideFromIPCatalog" value="false" />
  152. <parameter name="lockedInterfaceDefinition" value="" />
  153. <parameter name="maxAdditionalLatency" value="1" />
  154. <parameter name="projectName" value="myfirst_niosii.qpf" />
  155. <parameter name="sopcBorderPoints" value="false" />
  156. <parameter name="systemHash" value="0" />
  157. <parameter name="testBenchDutName" value="" />
  158. <parameter name="timeStamp" value="0" />
  159. <parameter name="useTestBenchNamingPattern" value="false" />
  160. <instanceScript></instanceScript>
  161. <interface name="clk" internal="clk_50.clk_in" type="clock" dir="end" />
  162. <interface
  163. name="lcd_16207_ext"
  164. internal="lcd_16207.external"
  165. type="conduit"
  166. dir="end" />
  167. <interface
  168. name="pio_button_ext_conn"
  169. internal="pio_BUTTON.external_connection"
  170. type="conduit"
  171. dir="end" />
  172. <interface
  173. name="pio_led_ext_conn"
  174. internal="pio_LED.external_connection"
  175. type="conduit"
  176. dir="end" />
  177. <interface
  178. name="pio_matrix_ext_conn"
  179. internal="pio_MATRIX.external_connection"
  180. type="conduit"
  181. dir="end" />
  182. <interface name="reset" internal="clk_50.clk_in_reset" type="reset" dir="end" />
  183. <module name="clk_50" kind="clock_source" version="18.1" enabled="1">
  184. <parameter name="clockFrequency" value="50000000" />
  185. <parameter name="clockFrequencyKnown" value="true" />
  186. <parameter name="inputClockFrequency" value="0" />
  187. <parameter name="resetSynchronousEdges" value="NONE" />
  188. </module>
  189. <module
  190. name="jtag_uart"
  191. kind="altera_avalon_jtag_uart"
  192. version="18.1"
  193. enabled="1">
  194. <parameter name="allowMultipleConnections" value="false" />
  195. <parameter name="avalonSpec" value="2.0" />
  196. <parameter name="clkFreq" value="50000000" />
  197. <parameter name="hubInstanceID" value="0" />
  198. <parameter name="readBufferDepth" value="64" />
  199. <parameter name="readIRQThreshold" value="8" />
  200. <parameter name="simInputCharacterStream" value="" />
  201. <parameter name="simInteractiveOptions">NO_INTERACTIVE_WINDOWS</parameter>
  202. <parameter name="useRegistersForReadBuffer" value="false" />
  203. <parameter name="useRegistersForWriteBuffer" value="false" />
  204. <parameter name="useRelativePathForSimFile" value="false" />
  205. <parameter name="writeBufferDepth" value="64" />
  206. <parameter name="writeIRQThreshold" value="8" />
  207. </module>
  208. <module
  209. name="lcd_16207"
  210. kind="altera_avalon_lcd_16207"
  211. version="18.1"
  212. enabled="1" />
  213. <module name="nios2" kind="altera_nios2_gen2" version="18.1" enabled="1">
  214. <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" />
  215. <parameter name="AUTO_CLK_RESET_DOMAIN" value="1" />
  216. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  217. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="7" />
  218. <parameter name="bht_ramBlockType" value="Automatic" />
  219. <parameter name="breakOffset" value="32" />
  220. <parameter name="breakSlave" value="None" />
  221. <parameter name="cdx_enabled" value="false" />
  222. <parameter name="clockFrequency" value="50000000" />
  223. <parameter name="cpuArchRev" value="1" />
  224. <parameter name="cpuID" value="0" />
  225. <parameter name="cpuReset" value="false" />
  226. <parameter name="customInstSlavesSystemInfo"><![CDATA[<info><slave name="nios_custom_instr_floating_point_0" baseAddress="252" addressSpan="4" clockCycleType="VARIABLE" /></info>]]></parameter>
  227. <parameter name="customInstSlavesSystemInfo_nios_a" value="&lt;info/&gt;" />
  228. <parameter name="customInstSlavesSystemInfo_nios_b" value="&lt;info/&gt;" />
  229. <parameter name="customInstSlavesSystemInfo_nios_c" value="&lt;info/&gt;" />
  230. <parameter name="dataAddrWidth" value="20" />
  231. <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
  232. <parameter name="dataMasterHighPerformanceMapParam" value="" />
  233. <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_BUTTON.s1' start='0x81040' end='0x81050' type='altera_avalon_pio.s1' /><slave name='pio_MATRIX.s1' start='0x81050' end='0x81060' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x81060' end='0x81070' type='altera_avalon_pio.s1' /><slave name='lcd_16207.control_slave' start='0x81070' end='0x81080' type='altera_avalon_lcd_16207.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81088' end='0x81090' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
  234. <parameter name="data_master_high_performance_paddr_base" value="0" />
  235. <parameter name="data_master_high_performance_paddr_size" value="0" />
  236. <parameter name="data_master_paddr_base" value="0" />
  237. <parameter name="data_master_paddr_size" value="0" />
  238. <parameter name="dcache_bursts" value="false" />
  239. <parameter name="dcache_numTCDM" value="0" />
  240. <parameter name="dcache_ramBlockType" value="Automatic" />
  241. <parameter name="dcache_size" value="2048" />
  242. <parameter name="dcache_tagramBlockType" value="Automatic" />
  243. <parameter name="dcache_victim_buf_impl" value="ram" />
  244. <parameter name="debug_OCIOnchipTrace" value="_128" />
  245. <parameter name="debug_assignJtagInstanceID" value="false" />
  246. <parameter name="debug_datatrigger" value="0" />
  247. <parameter name="debug_debugReqSignals" value="false" />
  248. <parameter name="debug_enabled" value="true" />
  249. <parameter name="debug_hwbreakpoint" value="0" />
  250. <parameter name="debug_jtagInstanceID" value="0" />
  251. <parameter name="debug_traceStorage" value="onchip_trace" />
  252. <parameter name="debug_traceType" value="none" />
  253. <parameter name="debug_triggerArming" value="true" />
  254. <parameter name="deviceFamilyName" value="Cyclone IV E" />
  255. <parameter name="deviceFeaturesSystemInfo">ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter>
  256. <parameter name="dividerType" value="no_div" />
  257. <parameter name="exceptionOffset" value="32" />
  258. <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  259. <parameter name="faAddrWidth" value="1" />
  260. <parameter name="faSlaveMapParam" value="" />
  261. <parameter name="fa_cache_line" value="2" />
  262. <parameter name="fa_cache_linesize" value="0" />
  263. <parameter name="flash_instruction_master_paddr_base" value="0" />
  264. <parameter name="flash_instruction_master_paddr_size" value="0" />
  265. <parameter name="icache_burstType" value="None" />
  266. <parameter name="icache_numTCIM" value="0" />
  267. <parameter name="icache_ramBlockType" value="Automatic" />
  268. <parameter name="icache_size" value="4096" />
  269. <parameter name="icache_tagramBlockType" value="Automatic" />
  270. <parameter name="impl" value="Tiny" />
  271. <parameter name="instAddrWidth" value="20" />
  272. <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_BUTTON.s1' start='0x81040' end='0x81050' type='altera_avalon_pio.s1' /><slave name='pio_MATRIX.s1' start='0x81050' end='0x81060' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x81060' end='0x81070' type='altera_avalon_pio.s1' /><slave name='lcd_16207.control_slave' start='0x81070' end='0x81080' type='altera_avalon_lcd_16207.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81088' end='0x81090' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
  273. <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
  274. <parameter name="instructionMasterHighPerformanceMapParam" value="" />
  275. <parameter name="instruction_master_high_performance_paddr_base" value="0" />
  276. <parameter name="instruction_master_high_performance_paddr_size" value="0" />
  277. <parameter name="instruction_master_paddr_base" value="0" />
  278. <parameter name="instruction_master_paddr_size" value="0" />
  279. <parameter name="internalIrqMaskSystemInfo" value="1" />
  280. <parameter name="io_regionbase" value="0" />
  281. <parameter name="io_regionsize" value="0" />
  282. <parameter name="master_addr_map" value="false" />
  283. <parameter name="mmu_TLBMissExcOffset" value="0" />
  284. <parameter name="mmu_TLBMissExcSlave" value="None" />
  285. <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  286. <parameter name="mmu_enabled" value="false" />
  287. <parameter name="mmu_processIDNumBits" value="8" />
  288. <parameter name="mmu_ramBlockType" value="Automatic" />
  289. <parameter name="mmu_tlbNumWays" value="16" />
  290. <parameter name="mmu_tlbPtrSz" value="7" />
  291. <parameter name="mmu_udtlbNumEntries" value="6" />
  292. <parameter name="mmu_uitlbNumEntries" value="4" />
  293. <parameter name="mpu_enabled" value="false" />
  294. <parameter name="mpu_minDataRegionSize" value="12" />
  295. <parameter name="mpu_minInstRegionSize" value="12" />
  296. <parameter name="mpu_numOfDataRegion" value="8" />
  297. <parameter name="mpu_numOfInstRegion" value="8" />
  298. <parameter name="mpu_useLimit" value="false" />
  299. <parameter name="mpx_enabled" value="false" />
  300. <parameter name="mul_32_impl" value="2" />
  301. <parameter name="mul_64_impl" value="0" />
  302. <parameter name="mul_shift_choice" value="0" />
  303. <parameter name="ocimem_ramBlockType" value="Automatic" />
  304. <parameter name="ocimem_ramInit" value="false" />
  305. <parameter name="regfile_ramBlockType" value="Automatic" />
  306. <parameter name="register_file_por" value="false" />
  307. <parameter name="resetOffset" value="0" />
  308. <parameter name="resetSlave" value="onchip_memory2.s1" />
  309. <parameter name="resetrequest_enabled" value="true" />
  310. <parameter name="setting_HBreakTest" value="false" />
  311. <parameter name="setting_HDLSimCachesCleared" value="true" />
  312. <parameter name="setting_activateMonitors" value="true" />
  313. <parameter name="setting_activateTestEndChecker" value="false" />
  314. <parameter name="setting_activateTrace" value="false" />
  315. <parameter name="setting_allow_break_inst" value="false" />
  316. <parameter name="setting_alwaysEncrypt" value="true" />
  317. <parameter name="setting_asic_add_scan_mode_input" value="false" />
  318. <parameter name="setting_asic_enabled" value="false" />
  319. <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  320. <parameter name="setting_asic_third_party_synthesis" value="false" />
  321. <parameter name="setting_avalonDebugPortPresent" value="false" />
  322. <parameter name="setting_bhtPtrSz" value="8" />
  323. <parameter name="setting_bigEndian" value="false" />
  324. <parameter name="setting_branchpredictiontype" value="Dynamic" />
  325. <parameter name="setting_breakslaveoveride" value="false" />
  326. <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  327. <parameter name="setting_dc_ecc_present" value="true" />
  328. <parameter name="setting_disable_tmr_inj" value="false" />
  329. <parameter name="setting_disableocitrace" value="false" />
  330. <parameter name="setting_dtcm_ecc_present" value="true" />
  331. <parameter name="setting_ecc_present" value="false" />
  332. <parameter name="setting_ecc_sim_test_ports" value="false" />
  333. <parameter name="setting_exportHostDebugPort" value="false" />
  334. <parameter name="setting_exportPCB" value="false" />
  335. <parameter name="setting_export_large_RAMs" value="false" />
  336. <parameter name="setting_exportdebuginfo" value="false" />
  337. <parameter name="setting_exportvectors" value="false" />
  338. <parameter name="setting_fast_register_read" value="false" />
  339. <parameter name="setting_ic_ecc_present" value="true" />
  340. <parameter name="setting_interruptControllerType" value="Internal" />
  341. <parameter name="setting_itcm_ecc_present" value="true" />
  342. <parameter name="setting_mmu_ecc_present" value="true" />
  343. <parameter name="setting_oci_export_jtag_signals" value="false" />
  344. <parameter name="setting_oci_version" value="1" />
  345. <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  346. <parameter name="setting_removeRAMinit" value="false" />
  347. <parameter name="setting_rf_ecc_present" value="true" />
  348. <parameter name="setting_shadowRegisterSets" value="0" />
  349. <parameter name="setting_showInternalSettings" value="false" />
  350. <parameter name="setting_showUnpublishedSettings" value="false" />
  351. <parameter name="setting_support31bitdcachebypass" value="true" />
  352. <parameter name="setting_tmr_output_disable" value="false" />
  353. <parameter name="setting_usedesignware" value="false" />
  354. <parameter name="shift_rot_impl" value="1" />
  355. <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  356. <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  357. <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  358. <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  359. <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  360. <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  361. <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  362. <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  363. <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  364. <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  365. <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  366. <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  367. <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  368. <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  369. <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  370. <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  371. <parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
  372. <parameter name="tightly_coupled_data_master_0_paddr_size" value="0" />
  373. <parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
  374. <parameter name="tightly_coupled_data_master_1_paddr_size" value="0" />
  375. <parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
  376. <parameter name="tightly_coupled_data_master_2_paddr_size" value="0" />
  377. <parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
  378. <parameter name="tightly_coupled_data_master_3_paddr_size" value="0" />
  379. <parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
  380. <parameter name="tightly_coupled_instruction_master_0_paddr_size" value="0" />
  381. <parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
  382. <parameter name="tightly_coupled_instruction_master_1_paddr_size" value="0" />
  383. <parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
  384. <parameter name="tightly_coupled_instruction_master_2_paddr_size" value="0" />
  385. <parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
  386. <parameter name="tightly_coupled_instruction_master_3_paddr_size" value="0" />
  387. <parameter name="tmr_enabled" value="false" />
  388. <parameter name="tracefilename" value="" />
  389. <parameter name="userDefinedSettings" value="" />
  390. </module>
  391. <module
  392. name="nios_custom_instr_floating_point_0"
  393. kind="altera_nios_custom_instr_floating_point"
  394. version="18.1"
  395. enabled="1">
  396. <parameter name="useDivider" value="1" />
  397. </module>
  398. <module
  399. name="onchip_memory2"
  400. kind="altera_avalon_onchip_memory2"
  401. version="18.1"
  402. enabled="1">
  403. <parameter name="allowInSystemMemoryContentEditor" value="false" />
  404. <parameter name="autoInitializationFileName">$${FILENAME}_onchip_memory2</parameter>
  405. <parameter name="blockType" value="AUTO" />
  406. <parameter name="copyInitFile" value="false" />
  407. <parameter name="dataWidth" value="32" />
  408. <parameter name="dataWidth2" value="32" />
  409. <parameter name="deviceFamily" value="Cyclone IV E" />
  410. <parameter name="deviceFeatures">ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter>
  411. <parameter name="dualPort" value="false" />
  412. <parameter name="ecc_enabled" value="false" />
  413. <parameter name="enPRInitMode" value="false" />
  414. <parameter name="enableDiffWidth" value="false" />
  415. <parameter name="initMemContent" value="true" />
  416. <parameter name="initializationFileName" value="onchip_mem.hex" />
  417. <parameter name="instanceID" value="NONE" />
  418. <parameter name="memorySize" value="204800" />
  419. <parameter name="readDuringWriteMode" value="DONT_CARE" />
  420. <parameter name="resetrequest_enabled" value="true" />
  421. <parameter name="simAllowMRAMContentsFile" value="false" />
  422. <parameter name="simMemInitOnlyFilename" value="0" />
  423. <parameter name="singleClockOperation" value="false" />
  424. <parameter name="slave1Latency" value="1" />
  425. <parameter name="slave2Latency" value="1" />
  426. <parameter name="useNonDefaultInitFile" value="false" />
  427. <parameter name="useShallowMemBlocks" value="false" />
  428. <parameter name="writable" value="true" />
  429. </module>
  430. <module name="pio_BUTTON" kind="altera_avalon_pio" version="18.1" enabled="1">
  431. <parameter name="bitClearingEdgeCapReg" value="false" />
  432. <parameter name="bitModifyingOutReg" value="false" />
  433. <parameter name="captureEdge" value="false" />
  434. <parameter name="clockRate" value="50000000" />
  435. <parameter name="direction" value="Input" />
  436. <parameter name="edgeType" value="RISING" />
  437. <parameter name="generateIRQ" value="false" />
  438. <parameter name="irqType" value="LEVEL" />
  439. <parameter name="resetValue" value="0" />
  440. <parameter name="simDoTestBenchWiring" value="false" />
  441. <parameter name="simDrivenValue" value="0" />
  442. <parameter name="width" value="8" />
  443. </module>
  444. <module name="pio_LED" kind="altera_avalon_pio" version="18.1" enabled="1">
  445. <parameter name="bitClearingEdgeCapReg" value="false" />
  446. <parameter name="bitModifyingOutReg" value="false" />
  447. <parameter name="captureEdge" value="false" />
  448. <parameter name="clockRate" value="50000000" />
  449. <parameter name="direction" value="Output" />
  450. <parameter name="edgeType" value="RISING" />
  451. <parameter name="generateIRQ" value="false" />
  452. <parameter name="irqType" value="LEVEL" />
  453. <parameter name="resetValue" value="0" />
  454. <parameter name="simDoTestBenchWiring" value="false" />
  455. <parameter name="simDrivenValue" value="0" />
  456. <parameter name="width" value="32" />
  457. </module>
  458. <module name="pio_MATRIX" kind="altera_avalon_pio" version="18.1" enabled="1">
  459. <parameter name="bitClearingEdgeCapReg" value="false" />
  460. <parameter name="bitModifyingOutReg" value="false" />
  461. <parameter name="captureEdge" value="false" />
  462. <parameter name="clockRate" value="50000000" />
  463. <parameter name="direction" value="Output" />
  464. <parameter name="edgeType" value="RISING" />
  465. <parameter name="generateIRQ" value="false" />
  466. <parameter name="irqType" value="LEVEL" />
  467. <parameter name="resetValue" value="0" />
  468. <parameter name="simDoTestBenchWiring" value="false" />
  469. <parameter name="simDrivenValue" value="0" />
  470. <parameter name="width" value="20" />
  471. </module>
  472. <connection
  473. kind="avalon"
  474. version="18.1"
  475. start="nios2.data_master"
  476. end="jtag_uart.avalon_jtag_slave">
  477. <parameter name="arbitrationPriority" value="1" />
  478. <parameter name="baseAddress" value="0x00081088" />
  479. <parameter name="defaultConnection" value="false" />
  480. </connection>
  481. <connection
  482. kind="avalon"
  483. version="18.1"
  484. start="nios2.data_master"
  485. end="lcd_16207.control_slave">
  486. <parameter name="arbitrationPriority" value="1" />
  487. <parameter name="baseAddress" value="0x00081070" />
  488. <parameter name="defaultConnection" value="false" />
  489. </connection>
  490. <connection
  491. kind="avalon"
  492. version="18.1"
  493. start="nios2.data_master"
  494. end="nios2.debug_mem_slave">
  495. <parameter name="arbitrationPriority" value="1" />
  496. <parameter name="baseAddress" value="0x00080800" />
  497. <parameter name="defaultConnection" value="false" />
  498. </connection>
  499. <connection
  500. kind="avalon"
  501. version="18.1"
  502. start="nios2.data_master"
  503. end="onchip_memory2.s1">
  504. <parameter name="arbitrationPriority" value="1" />
  505. <parameter name="baseAddress" value="0x00040000" />
  506. <parameter name="defaultConnection" value="false" />
  507. </connection>
  508. <connection
  509. kind="avalon"
  510. version="18.1"
  511. start="nios2.data_master"
  512. end="pio_LED.s1">
  513. <parameter name="arbitrationPriority" value="1" />
  514. <parameter name="baseAddress" value="0x00081060" />
  515. <parameter name="defaultConnection" value="false" />
  516. </connection>
  517. <connection
  518. kind="avalon"
  519. version="18.1"
  520. start="nios2.data_master"
  521. end="pio_MATRIX.s1">
  522. <parameter name="arbitrationPriority" value="1" />
  523. <parameter name="baseAddress" value="0x00081050" />
  524. <parameter name="defaultConnection" value="false" />
  525. </connection>
  526. <connection
  527. kind="avalon"
  528. version="18.1"
  529. start="nios2.data_master"
  530. end="pio_BUTTON.s1">
  531. <parameter name="arbitrationPriority" value="1" />
  532. <parameter name="baseAddress" value="0x00081040" />
  533. <parameter name="defaultConnection" value="false" />
  534. </connection>
  535. <connection
  536. kind="avalon"
  537. version="18.1"
  538. start="nios2.instruction_master"
  539. end="jtag_uart.avalon_jtag_slave">
  540. <parameter name="arbitrationPriority" value="1" />
  541. <parameter name="baseAddress" value="0x00081088" />
  542. <parameter name="defaultConnection" value="false" />
  543. </connection>
  544. <connection
  545. kind="avalon"
  546. version="18.1"
  547. start="nios2.instruction_master"
  548. end="lcd_16207.control_slave">
  549. <parameter name="arbitrationPriority" value="1" />
  550. <parameter name="baseAddress" value="0x00081070" />
  551. <parameter name="defaultConnection" value="false" />
  552. </connection>
  553. <connection
  554. kind="avalon"
  555. version="18.1"
  556. start="nios2.instruction_master"
  557. end="nios2.debug_mem_slave">
  558. <parameter name="arbitrationPriority" value="1" />
  559. <parameter name="baseAddress" value="0x00080800" />
  560. <parameter name="defaultConnection" value="false" />
  561. </connection>
  562. <connection
  563. kind="avalon"
  564. version="18.1"
  565. start="nios2.instruction_master"
  566. end="pio_LED.s1">
  567. <parameter name="arbitrationPriority" value="1" />
  568. <parameter name="baseAddress" value="0x00081060" />
  569. <parameter name="defaultConnection" value="false" />
  570. </connection>
  571. <connection
  572. kind="avalon"
  573. version="18.1"
  574. start="nios2.instruction_master"
  575. end="onchip_memory2.s1">
  576. <parameter name="arbitrationPriority" value="1" />
  577. <parameter name="baseAddress" value="0x00040000" />
  578. <parameter name="defaultConnection" value="false" />
  579. </connection>
  580. <connection
  581. kind="avalon"
  582. version="18.1"
  583. start="nios2.instruction_master"
  584. end="pio_MATRIX.s1">
  585. <parameter name="arbitrationPriority" value="1" />
  586. <parameter name="baseAddress" value="0x00081050" />
  587. <parameter name="defaultConnection" value="false" />
  588. </connection>
  589. <connection
  590. kind="avalon"
  591. version="18.1"
  592. start="nios2.instruction_master"
  593. end="pio_BUTTON.s1">
  594. <parameter name="arbitrationPriority" value="1" />
  595. <parameter name="baseAddress" value="0x00081040" />
  596. <parameter name="defaultConnection" value="false" />
  597. </connection>
  598. <connection kind="clock" version="18.1" start="clk_50.clk" end="nios2.clk" />
  599. <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_LED.clk" />
  600. <connection kind="clock" version="18.1" start="clk_50.clk" end="jtag_uart.clk" />
  601. <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_MATRIX.clk" />
  602. <connection kind="clock" version="18.1" start="clk_50.clk" end="lcd_16207.clk" />
  603. <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_BUTTON.clk" />
  604. <connection
  605. kind="clock"
  606. version="18.1"
  607. start="clk_50.clk"
  608. end="onchip_memory2.clk1" />
  609. <connection kind="interrupt" version="18.1" start="nios2.irq" end="jtag_uart.irq">
  610. <parameter name="irqNumber" value="0" />
  611. </connection>
  612. <connection
  613. kind="nios_custom_instruction"
  614. version="18.1"
  615. start="nios2.custom_instruction_master"
  616. end="nios_custom_instr_floating_point_0.s1">
  617. <parameter name="CIName">nios_custom_instr_floating_point_0</parameter>
  618. <parameter name="arbitrationPriority" value="1" />
  619. <parameter name="baseAddress" value="252" />
  620. </connection>
  621. <connection
  622. kind="reset"
  623. version="18.1"
  624. start="clk_50.clk_reset"
  625. end="nios2.reset" />
  626. <connection
  627. kind="reset"
  628. version="18.1"
  629. start="clk_50.clk_reset"
  630. end="jtag_uart.reset" />
  631. <connection
  632. kind="reset"
  633. version="18.1"
  634. start="clk_50.clk_reset"
  635. end="pio_LED.reset" />
  636. <connection
  637. kind="reset"
  638. version="18.1"
  639. start="clk_50.clk_reset"
  640. end="pio_BUTTON.reset" />
  641. <connection
  642. kind="reset"
  643. version="18.1"
  644. start="clk_50.clk_reset"
  645. end="lcd_16207.reset" />
  646. <connection
  647. kind="reset"
  648. version="18.1"
  649. start="clk_50.clk_reset"
  650. end="pio_MATRIX.reset" />
  651. <connection
  652. kind="reset"
  653. version="18.1"
  654. start="clk_50.clk_reset"
  655. end="onchip_memory2.reset1" />
  656. <connection
  657. kind="reset"
  658. version="18.1"
  659. start="nios2.debug_reset_request"
  660. end="nios2.reset" />
  661. <connection
  662. kind="reset"
  663. version="18.1"
  664. start="nios2.debug_reset_request"
  665. end="jtag_uart.reset" />
  666. <connection
  667. kind="reset"
  668. version="18.1"
  669. start="nios2.debug_reset_request"
  670. end="pio_LED.reset" />
  671. <connection
  672. kind="reset"
  673. version="18.1"
  674. start="nios2.debug_reset_request"
  675. end="pio_MATRIX.reset" />
  676. <connection
  677. kind="reset"
  678. version="18.1"
  679. start="nios2.debug_reset_request"
  680. end="lcd_16207.reset" />
  681. <connection
  682. kind="reset"
  683. version="18.1"
  684. start="nios2.debug_reset_request"
  685. end="pio_BUTTON.reset" />
  686. <connection
  687. kind="reset"
  688. version="18.1"
  689. start="nios2.debug_reset_request"
  690. end="onchip_memory2.reset1" />
  691. <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
  692. <interconnectRequirement for="$system" name="qsys_mm.enableEccProtection" value="FALSE" />
  693. <interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="FALSE" />
  694. <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
  695. </system>