12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485868788899091929394959697989910010110210310410510610710810911011111211311411511611711811912012112212312412512612712812913013113213313413513613713813914014114214314414514614714814915015115215315415515615715815916016116216316416516616716816917017117217317417517617717817918018118218318418518618718818919019119219319419519619719819920020120220320420520620720820921021121221321421521621721821922022122222322422522622722822923023123223323423523623723823924024124224324424524624724824925025125225325425525625725825926026126226326426526626726826927027127227327427527627727827928028128228328428528628728828929029129229329429529629729829930030130230330430530630730830931031131231331431531631731831932032132232332432532632732832933033133233333433533633733833934034134234334434534634734834935035135235335435535635735835936036136236336436536636736836937037137237337437537637737837938038138238338438538638738838939039139239339439539639739839940040140240340440540640740840941041141241341441541641741841942042142242342442542642742842943043143243343443543643743843944044144244344444544644744844945045145245345445545645745845946046146246346446546646746846947047147247347447547647747847948048148248348448548648748848949049149249349449549649749849950050150250350450550650750850951051151251351451551651751851952052152252352452552652752852953053153253353453553653753853954054154254354454554654754854955055155255355455555655755855956056156256356456556656756856957057157257357457557657757857958058158258358458558658758858959059159259359459559659759859960060160260360460560660760860961061161261361461561661761861962062162262362462562662762862963063163263363463563663763863964064164264364464564664764864965065165265365465565665765865966066166266366466566666766866967067167267367467567667767867968068168268368468568668768868969069169269369469569669769869970070170270370470570670770870971071171271371471571671771871972072172272372472572672772872973073173273373473573673773873974074174274374474574674774874975075175275375475575675775875976076176276376476576676776876977077177277377477577677777877978078178278378478578678778878979079179279379479579679779879980080180280380480580680780880981081181281381481581681781881982082182282382482582682782882983083183283383483583683783883984084184284384484584684784884985085185285385485585685785885986086186286386486586686786886987087187287387487587687787887988088188288388488588688788888989089189289389489589689789889990090190290390490590690790890991091191291391491591691791891992092192292392492592692792892993093193293393493593693793893994094194294394494594694794894995095195295395495595695795895996096196296396496596696796896997097197297397497597697797897998098198298398498598698798898999099199299399499599699799899910001001100210031004100510061007100810091010101110121013101410151016101710181019102010211022102310241025102610271028102910301031103210331034103510361037103810391040104110421043104410451046104710481049105010511052105310541055105610571058105910601061106210631064106510661067106810691070107110721073107410751076107710781079108010811082108310841085108610871088108910901091109210931094109510961097109810991100110111021103110411051106110711081109111011111112111311141115111611171118111911201121112211231124112511261127112811291130113111321133113411351136113711381139114011411142114311441145114611471148114911501151115211531154115511561157115811591160116111621163116411651166116711681169117011711172117311741175117611771178117911801181118211831184118511861187118811891190119111921193119411951196119711981199120012011202120312041205120612071208120912101211121212131214121512161217121812191220122112221223122412251226122712281229123012311232123312341235123612371238123912401241124212431244124512461247124812491250125112521253125412551256125712581259126012611262126312641265126612671268126912701271127212731274127512761277127812791280128112821283128412851286128712881289129012911292129312941295129612971298129913001301130213031304130513061307130813091310131113121313131413151316131713181319132013211322132313241325132613271328132913301331133213331334133513361337133813391340134113421343134413451346134713481349135013511352135313541355135613571358135913601361136213631364136513661367136813691370137113721373137413751376137713781379138013811382138313841385138613871388138913901391139213931394139513961397139813991400140114021403140414051406140714081409141014111412141314141415141614171418141914201421142214231424142514261427142814291430143114321433143414351436143714381439144014411442144314441445144614471448144914501451145214531454145514561457145814591460146114621463146414651466146714681469147014711472147314741475147614771478147914801481148214831484148514861487148814891490149114921493149414951496149714981499150015011502150315041505150615071508150915101511151215131514151515161517151815191520152115221523152415251526152715281529153015311532153315341535153615371538153915401541154215431544154515461547154815491550155115521553155415551556155715581559156015611562156315641565156615671568156915701571157215731574157515761577157815791580158115821583158415851586158715881589159015911592159315941595159615971598159916001601160216031604160516061607160816091610161116121613161416151616161716181619162016211622162316241625162616271628162916301631163216331634163516361637163816391640164116421643164416451646164716481649165016511652165316541655165616571658165916601661166216631664166516661667166816691670167116721673167416751676167716781679168016811682168316841685168616871688168916901691169216931694169516961697169816991700170117021703170417051706170717081709171017111712171317141715171617171718171917201721172217231724172517261727172817291730173117321733173417351736173717381739174017411742174317441745174617471748174917501751175217531754175517561757175817591760176117621763176417651766176717681769177017711772177317741775177617771778177917801781178217831784178517861787178817891790179117921793179417951796179717981799180018011802180318041805180618071808180918101811181218131814181518161817181818191820182118221823182418251826182718281829183018311832183318341835183618371838183918401841184218431844184518461847184818491850185118521853185418551856185718581859186018611862186318641865186618671868186918701871187218731874187518761877187818791880188118821883188418851886188718881889189018911892189318941895189618971898189919001901190219031904190519061907190819091910191119121913191419151916191719181919192019211922192319241925192619271928192919301931193219331934193519361937193819391940194119421943194419451946194719481949195019511952195319541955195619571958195919601961196219631964196519661967196819691970197119721973197419751976197719781979198019811982198319841985198619871988198919901991199219931994199519961997199819992000200120022003200420052006200720082009201020112012201320142015201620172018201920202021202220232024202520262027202820292030203120322033203420352036203720382039204020412042204320442045204620472048204920502051205220532054205520562057205820592060206120622063206420652066206720682069207020712072207320742075207620772078207920802081208220832084208520862087208820892090209120922093209420952096209720982099210021012102210321042105210621072108210921102111211221132114211521162117211821192120212121222123212421252126212721282129213021312132213321342135213621372138213921402141214221432144214521462147214821492150215121522153215421552156215721582159216021612162216321642165216621672168216921702171217221732174217521762177217821792180218121822183218421852186218721882189219021912192219321942195219621972198219922002201220222032204220522062207220822092210221122122213221422152216221722182219222022212222222322242225222622272228222922302231223222332234223522362237223822392240224122422243224422452246224722482249225022512252225322542255225622572258225922602261226222632264226522662267226822692270227122722273227422752276227722782279228022812282228322842285228622872288228922902291229222932294229522962297229822992300230123022303230423052306230723082309231023112312231323142315231623172318231923202321232223232324232523262327232823292330233123322333233423352336233723382339234023412342234323442345234623472348234923502351235223532354235523562357235823592360236123622363236423652366236723682369237023712372237323742375237623772378237923802381238223832384238523862387238823892390239123922393239423952396239723982399240024012402240324042405240624072408240924102411241224132414241524162417241824192420242124222423242424252426242724282429243024312432243324342435243624372438243924402441244224432444244524462447244824492450245124522453245424552456245724582459246024612462246324642465246624672468246924702471247224732474247524762477247824792480248124822483248424852486248724882489249024912492249324942495249624972498249925002501250225032504250525062507250825092510251125122513251425152516251725182519252025212522252325242525252625272528252925302531253225332534253525362537253825392540254125422543254425452546254725482549255025512552255325542555255625572558255925602561256225632564256525662567256825692570257125722573257425752576257725782579258025812582258325842585258625872588258925902591259225932594259525962597259825992600260126022603260426052606260726082609261026112612261326142615261626172618261926202621262226232624262526262627262826292630263126322633263426352636263726382639264026412642264326442645264626472648264926502651265226532654265526562657265826592660266126622663266426652666266726682669267026712672267326742675267626772678267926802681268226832684268526862687268826892690269126922693269426952696269726982699270027012702270327042705270627072708270927102711271227132714271527162717271827192720272127222723272427252726272727282729273027312732273327342735273627372738273927402741274227432744274527462747274827492750275127522753275427552756275727582759276027612762276327642765276627672768276927702771277227732774277527762777277827792780278127822783278427852786278727882789279027912792279327942795279627972798279928002801280228032804280528062807280828092810281128122813281428152816281728182819282028212822282328242825282628272828282928302831283228332834283528362837283828392840284128422843284428452846284728482849285028512852285328542855285628572858285928602861286228632864286528662867286828692870287128722873287428752876287728782879288028812882288328842885288628872888288928902891289228932894289528962897289828992900290129022903290429052906290729082909291029112912291329142915291629172918291929202921292229232924292529262927292829292930293129322933293429352936293729382939294029412942294329442945294629472948294929502951295229532954295529562957295829592960296129622963296429652966296729682969297029712972297329742975297629772978297929802981298229832984298529862987298829892990299129922993299429952996299729982999300030013002300330043005300630073008300930103011301230133014301530163017301830193020302130223023302430253026302730283029303030313032303330343035303630373038303930403041304230433044304530463047304830493050305130523053305430553056305730583059306030613062306330643065306630673068306930703071307230733074307530763077307830793080308130823083308430853086308730883089309030913092 |
- // nios2_uc_mm_interconnect_0.v
- // This file was auto-generated from altera_mm_interconnect_hw.tcl. If you edit it your changes
- // will probably be lost.
- //
- // Generated using ACDS version 18.1 625
- `timescale 1 ps / 1 ps
- module nios2_uc_mm_interconnect_0 (
- input wire clk_50_clk_clk, // clk_50_clk.clk
- input wire nios2_reset_reset_bridge_in_reset_reset, // nios2_reset_reset_bridge_in_reset.reset
- input wire [19:0] nios2_data_master_address, // nios2_data_master.address
- output wire nios2_data_master_waitrequest, // .waitrequest
- input wire [3:0] nios2_data_master_byteenable, // .byteenable
- input wire nios2_data_master_read, // .read
- output wire [31:0] nios2_data_master_readdata, // .readdata
- input wire nios2_data_master_write, // .write
- input wire [31:0] nios2_data_master_writedata, // .writedata
- input wire nios2_data_master_debugaccess, // .debugaccess
- input wire [19:0] nios2_instruction_master_address, // nios2_instruction_master.address
- output wire nios2_instruction_master_waitrequest, // .waitrequest
- input wire nios2_instruction_master_read, // .read
- output wire [31:0] nios2_instruction_master_readdata, // .readdata
- output wire [0:0] jtag_uart_avalon_jtag_slave_address, // jtag_uart_avalon_jtag_slave.address
- output wire jtag_uart_avalon_jtag_slave_write, // .write
- output wire jtag_uart_avalon_jtag_slave_read, // .read
- input wire [31:0] jtag_uart_avalon_jtag_slave_readdata, // .readdata
- output wire [31:0] jtag_uart_avalon_jtag_slave_writedata, // .writedata
- input wire jtag_uart_avalon_jtag_slave_waitrequest, // .waitrequest
- output wire jtag_uart_avalon_jtag_slave_chipselect, // .chipselect
- output wire [1:0] lcd_16207_control_slave_address, // lcd_16207_control_slave.address
- output wire lcd_16207_control_slave_write, // .write
- output wire lcd_16207_control_slave_read, // .read
- input wire [7:0] lcd_16207_control_slave_readdata, // .readdata
- output wire [7:0] lcd_16207_control_slave_writedata, // .writedata
- output wire lcd_16207_control_slave_begintransfer, // .begintransfer
- output wire [8:0] nios2_debug_mem_slave_address, // nios2_debug_mem_slave.address
- output wire nios2_debug_mem_slave_write, // .write
- output wire nios2_debug_mem_slave_read, // .read
- input wire [31:0] nios2_debug_mem_slave_readdata, // .readdata
- output wire [31:0] nios2_debug_mem_slave_writedata, // .writedata
- output wire [3:0] nios2_debug_mem_slave_byteenable, // .byteenable
- input wire nios2_debug_mem_slave_waitrequest, // .waitrequest
- output wire nios2_debug_mem_slave_debugaccess, // .debugaccess
- output wire [15:0] onchip_memory2_s1_address, // onchip_memory2_s1.address
- output wire onchip_memory2_s1_write, // .write
- input wire [31:0] onchip_memory2_s1_readdata, // .readdata
- output wire [31:0] onchip_memory2_s1_writedata, // .writedata
- output wire [3:0] onchip_memory2_s1_byteenable, // .byteenable
- output wire onchip_memory2_s1_chipselect, // .chipselect
- output wire onchip_memory2_s1_clken, // .clken
- output wire [1:0] pio_BUTTON_s1_address, // pio_BUTTON_s1.address
- input wire [31:0] pio_BUTTON_s1_readdata, // .readdata
- output wire [1:0] pio_LED_s1_address, // pio_LED_s1.address
- output wire pio_LED_s1_write, // .write
- input wire [31:0] pio_LED_s1_readdata, // .readdata
- output wire [31:0] pio_LED_s1_writedata, // .writedata
- output wire pio_LED_s1_chipselect, // .chipselect
- output wire [1:0] pio_MATRIX_s1_address, // pio_MATRIX_s1.address
- output wire pio_MATRIX_s1_write, // .write
- input wire [31:0] pio_MATRIX_s1_readdata, // .readdata
- output wire [31:0] pio_MATRIX_s1_writedata, // .writedata
- output wire pio_MATRIX_s1_chipselect // .chipselect
- );
- wire nios2_data_master_translator_avalon_universal_master_0_waitrequest; // nios2_data_master_agent:av_waitrequest -> nios2_data_master_translator:uav_waitrequest
- wire [31:0] nios2_data_master_translator_avalon_universal_master_0_readdata; // nios2_data_master_agent:av_readdata -> nios2_data_master_translator:uav_readdata
- wire nios2_data_master_translator_avalon_universal_master_0_debugaccess; // nios2_data_master_translator:uav_debugaccess -> nios2_data_master_agent:av_debugaccess
- wire [19:0] nios2_data_master_translator_avalon_universal_master_0_address; // nios2_data_master_translator:uav_address -> nios2_data_master_agent:av_address
- wire nios2_data_master_translator_avalon_universal_master_0_read; // nios2_data_master_translator:uav_read -> nios2_data_master_agent:av_read
- wire [3:0] nios2_data_master_translator_avalon_universal_master_0_byteenable; // nios2_data_master_translator:uav_byteenable -> nios2_data_master_agent:av_byteenable
- wire nios2_data_master_translator_avalon_universal_master_0_readdatavalid; // nios2_data_master_agent:av_readdatavalid -> nios2_data_master_translator:uav_readdatavalid
- wire nios2_data_master_translator_avalon_universal_master_0_lock; // nios2_data_master_translator:uav_lock -> nios2_data_master_agent:av_lock
- wire nios2_data_master_translator_avalon_universal_master_0_write; // nios2_data_master_translator:uav_write -> nios2_data_master_agent:av_write
- wire [31:0] nios2_data_master_translator_avalon_universal_master_0_writedata; // nios2_data_master_translator:uav_writedata -> nios2_data_master_agent:av_writedata
- wire [2:0] nios2_data_master_translator_avalon_universal_master_0_burstcount; // nios2_data_master_translator:uav_burstcount -> nios2_data_master_agent:av_burstcount
- wire rsp_mux_src_valid; // rsp_mux:src_valid -> nios2_data_master_agent:rp_valid
- wire [95:0] rsp_mux_src_data; // rsp_mux:src_data -> nios2_data_master_agent:rp_data
- wire rsp_mux_src_ready; // nios2_data_master_agent:rp_ready -> rsp_mux:src_ready
- wire [6:0] rsp_mux_src_channel; // rsp_mux:src_channel -> nios2_data_master_agent:rp_channel
- wire rsp_mux_src_startofpacket; // rsp_mux:src_startofpacket -> nios2_data_master_agent:rp_startofpacket
- wire rsp_mux_src_endofpacket; // rsp_mux:src_endofpacket -> nios2_data_master_agent:rp_endofpacket
- wire nios2_instruction_master_translator_avalon_universal_master_0_waitrequest; // nios2_instruction_master_agent:av_waitrequest -> nios2_instruction_master_translator:uav_waitrequest
- wire [31:0] nios2_instruction_master_translator_avalon_universal_master_0_readdata; // nios2_instruction_master_agent:av_readdata -> nios2_instruction_master_translator:uav_readdata
- wire nios2_instruction_master_translator_avalon_universal_master_0_debugaccess; // nios2_instruction_master_translator:uav_debugaccess -> nios2_instruction_master_agent:av_debugaccess
- wire [19:0] nios2_instruction_master_translator_avalon_universal_master_0_address; // nios2_instruction_master_translator:uav_address -> nios2_instruction_master_agent:av_address
- wire nios2_instruction_master_translator_avalon_universal_master_0_read; // nios2_instruction_master_translator:uav_read -> nios2_instruction_master_agent:av_read
- wire [3:0] nios2_instruction_master_translator_avalon_universal_master_0_byteenable; // nios2_instruction_master_translator:uav_byteenable -> nios2_instruction_master_agent:av_byteenable
- wire nios2_instruction_master_translator_avalon_universal_master_0_readdatavalid; // nios2_instruction_master_agent:av_readdatavalid -> nios2_instruction_master_translator:uav_readdatavalid
- wire nios2_instruction_master_translator_avalon_universal_master_0_lock; // nios2_instruction_master_translator:uav_lock -> nios2_instruction_master_agent:av_lock
- wire nios2_instruction_master_translator_avalon_universal_master_0_write; // nios2_instruction_master_translator:uav_write -> nios2_instruction_master_agent:av_write
- wire [31:0] nios2_instruction_master_translator_avalon_universal_master_0_writedata; // nios2_instruction_master_translator:uav_writedata -> nios2_instruction_master_agent:av_writedata
- wire [2:0] nios2_instruction_master_translator_avalon_universal_master_0_burstcount; // nios2_instruction_master_translator:uav_burstcount -> nios2_instruction_master_agent:av_burstcount
- wire rsp_mux_001_src_valid; // rsp_mux_001:src_valid -> nios2_instruction_master_agent:rp_valid
- wire [95:0] rsp_mux_001_src_data; // rsp_mux_001:src_data -> nios2_instruction_master_agent:rp_data
- wire rsp_mux_001_src_ready; // nios2_instruction_master_agent:rp_ready -> rsp_mux_001:src_ready
- wire [6:0] rsp_mux_001_src_channel; // rsp_mux_001:src_channel -> nios2_instruction_master_agent:rp_channel
- wire rsp_mux_001_src_startofpacket; // rsp_mux_001:src_startofpacket -> nios2_instruction_master_agent:rp_startofpacket
- wire rsp_mux_001_src_endofpacket; // rsp_mux_001:src_endofpacket -> nios2_instruction_master_agent:rp_endofpacket
- wire [31:0] jtag_uart_avalon_jtag_slave_agent_m0_readdata; // jtag_uart_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_avalon_jtag_slave_agent:m0_readdata
- wire jtag_uart_avalon_jtag_slave_agent_m0_waitrequest; // jtag_uart_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_avalon_jtag_slave_agent:m0_waitrequest
- wire jtag_uart_avalon_jtag_slave_agent_m0_debugaccess; // jtag_uart_avalon_jtag_slave_agent:m0_debugaccess -> jtag_uart_avalon_jtag_slave_translator:uav_debugaccess
- wire [19:0] jtag_uart_avalon_jtag_slave_agent_m0_address; // jtag_uart_avalon_jtag_slave_agent:m0_address -> jtag_uart_avalon_jtag_slave_translator:uav_address
- wire [3:0] jtag_uart_avalon_jtag_slave_agent_m0_byteenable; // jtag_uart_avalon_jtag_slave_agent:m0_byteenable -> jtag_uart_avalon_jtag_slave_translator:uav_byteenable
- wire jtag_uart_avalon_jtag_slave_agent_m0_read; // jtag_uart_avalon_jtag_slave_agent:m0_read -> jtag_uart_avalon_jtag_slave_translator:uav_read
- wire jtag_uart_avalon_jtag_slave_agent_m0_readdatavalid; // jtag_uart_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_avalon_jtag_slave_agent:m0_readdatavalid
- wire jtag_uart_avalon_jtag_slave_agent_m0_lock; // jtag_uart_avalon_jtag_slave_agent:m0_lock -> jtag_uart_avalon_jtag_slave_translator:uav_lock
- wire [31:0] jtag_uart_avalon_jtag_slave_agent_m0_writedata; // jtag_uart_avalon_jtag_slave_agent:m0_writedata -> jtag_uart_avalon_jtag_slave_translator:uav_writedata
- wire jtag_uart_avalon_jtag_slave_agent_m0_write; // jtag_uart_avalon_jtag_slave_agent:m0_write -> jtag_uart_avalon_jtag_slave_translator:uav_write
- wire [2:0] jtag_uart_avalon_jtag_slave_agent_m0_burstcount; // jtag_uart_avalon_jtag_slave_agent:m0_burstcount -> jtag_uart_avalon_jtag_slave_translator:uav_burstcount
- wire jtag_uart_avalon_jtag_slave_agent_rf_source_valid; // jtag_uart_avalon_jtag_slave_agent:rf_source_valid -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_valid
- wire [96:0] jtag_uart_avalon_jtag_slave_agent_rf_source_data; // jtag_uart_avalon_jtag_slave_agent:rf_source_data -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_data
- wire jtag_uart_avalon_jtag_slave_agent_rf_source_ready; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_ready -> jtag_uart_avalon_jtag_slave_agent:rf_source_ready
- wire jtag_uart_avalon_jtag_slave_agent_rf_source_startofpacket; // jtag_uart_avalon_jtag_slave_agent:rf_source_startofpacket -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_startofpacket
- wire jtag_uart_avalon_jtag_slave_agent_rf_source_endofpacket; // jtag_uart_avalon_jtag_slave_agent:rf_source_endofpacket -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_endofpacket
- wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_valid; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_valid -> jtag_uart_avalon_jtag_slave_agent:rf_sink_valid
- wire [96:0] jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_data; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_data -> jtag_uart_avalon_jtag_slave_agent:rf_sink_data
- wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_ready; // jtag_uart_avalon_jtag_slave_agent:rf_sink_ready -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_ready
- wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_startofpacket; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_startofpacket -> jtag_uart_avalon_jtag_slave_agent:rf_sink_startofpacket
- wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_endofpacket; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_endofpacket -> jtag_uart_avalon_jtag_slave_agent:rf_sink_endofpacket
- wire cmd_mux_src_valid; // cmd_mux:src_valid -> jtag_uart_avalon_jtag_slave_agent:cp_valid
- wire [95:0] cmd_mux_src_data; // cmd_mux:src_data -> jtag_uart_avalon_jtag_slave_agent:cp_data
- wire cmd_mux_src_ready; // jtag_uart_avalon_jtag_slave_agent:cp_ready -> cmd_mux:src_ready
- wire [6:0] cmd_mux_src_channel; // cmd_mux:src_channel -> jtag_uart_avalon_jtag_slave_agent:cp_channel
- wire cmd_mux_src_startofpacket; // cmd_mux:src_startofpacket -> jtag_uart_avalon_jtag_slave_agent:cp_startofpacket
- wire cmd_mux_src_endofpacket; // cmd_mux:src_endofpacket -> jtag_uart_avalon_jtag_slave_agent:cp_endofpacket
- wire [31:0] lcd_16207_control_slave_agent_m0_readdata; // lcd_16207_control_slave_translator:uav_readdata -> lcd_16207_control_slave_agent:m0_readdata
- wire lcd_16207_control_slave_agent_m0_waitrequest; // lcd_16207_control_slave_translator:uav_waitrequest -> lcd_16207_control_slave_agent:m0_waitrequest
- wire lcd_16207_control_slave_agent_m0_debugaccess; // lcd_16207_control_slave_agent:m0_debugaccess -> lcd_16207_control_slave_translator:uav_debugaccess
- wire [19:0] lcd_16207_control_slave_agent_m0_address; // lcd_16207_control_slave_agent:m0_address -> lcd_16207_control_slave_translator:uav_address
- wire [3:0] lcd_16207_control_slave_agent_m0_byteenable; // lcd_16207_control_slave_agent:m0_byteenable -> lcd_16207_control_slave_translator:uav_byteenable
- wire lcd_16207_control_slave_agent_m0_read; // lcd_16207_control_slave_agent:m0_read -> lcd_16207_control_slave_translator:uav_read
- wire lcd_16207_control_slave_agent_m0_readdatavalid; // lcd_16207_control_slave_translator:uav_readdatavalid -> lcd_16207_control_slave_agent:m0_readdatavalid
- wire lcd_16207_control_slave_agent_m0_lock; // lcd_16207_control_slave_agent:m0_lock -> lcd_16207_control_slave_translator:uav_lock
- wire [31:0] lcd_16207_control_slave_agent_m0_writedata; // lcd_16207_control_slave_agent:m0_writedata -> lcd_16207_control_slave_translator:uav_writedata
- wire lcd_16207_control_slave_agent_m0_write; // lcd_16207_control_slave_agent:m0_write -> lcd_16207_control_slave_translator:uav_write
- wire [2:0] lcd_16207_control_slave_agent_m0_burstcount; // lcd_16207_control_slave_agent:m0_burstcount -> lcd_16207_control_slave_translator:uav_burstcount
- wire lcd_16207_control_slave_agent_rf_source_valid; // lcd_16207_control_slave_agent:rf_source_valid -> lcd_16207_control_slave_agent_rsp_fifo:in_valid
- wire [96:0] lcd_16207_control_slave_agent_rf_source_data; // lcd_16207_control_slave_agent:rf_source_data -> lcd_16207_control_slave_agent_rsp_fifo:in_data
- wire lcd_16207_control_slave_agent_rf_source_ready; // lcd_16207_control_slave_agent_rsp_fifo:in_ready -> lcd_16207_control_slave_agent:rf_source_ready
- wire lcd_16207_control_slave_agent_rf_source_startofpacket; // lcd_16207_control_slave_agent:rf_source_startofpacket -> lcd_16207_control_slave_agent_rsp_fifo:in_startofpacket
- wire lcd_16207_control_slave_agent_rf_source_endofpacket; // lcd_16207_control_slave_agent:rf_source_endofpacket -> lcd_16207_control_slave_agent_rsp_fifo:in_endofpacket
- wire lcd_16207_control_slave_agent_rsp_fifo_out_valid; // lcd_16207_control_slave_agent_rsp_fifo:out_valid -> lcd_16207_control_slave_agent:rf_sink_valid
- wire [96:0] lcd_16207_control_slave_agent_rsp_fifo_out_data; // lcd_16207_control_slave_agent_rsp_fifo:out_data -> lcd_16207_control_slave_agent:rf_sink_data
- wire lcd_16207_control_slave_agent_rsp_fifo_out_ready; // lcd_16207_control_slave_agent:rf_sink_ready -> lcd_16207_control_slave_agent_rsp_fifo:out_ready
- wire lcd_16207_control_slave_agent_rsp_fifo_out_startofpacket; // lcd_16207_control_slave_agent_rsp_fifo:out_startofpacket -> lcd_16207_control_slave_agent:rf_sink_startofpacket
- wire lcd_16207_control_slave_agent_rsp_fifo_out_endofpacket; // lcd_16207_control_slave_agent_rsp_fifo:out_endofpacket -> lcd_16207_control_slave_agent:rf_sink_endofpacket
- wire cmd_mux_001_src_valid; // cmd_mux_001:src_valid -> lcd_16207_control_slave_agent:cp_valid
- wire [95:0] cmd_mux_001_src_data; // cmd_mux_001:src_data -> lcd_16207_control_slave_agent:cp_data
- wire cmd_mux_001_src_ready; // lcd_16207_control_slave_agent:cp_ready -> cmd_mux_001:src_ready
- wire [6:0] cmd_mux_001_src_channel; // cmd_mux_001:src_channel -> lcd_16207_control_slave_agent:cp_channel
- wire cmd_mux_001_src_startofpacket; // cmd_mux_001:src_startofpacket -> lcd_16207_control_slave_agent:cp_startofpacket
- wire cmd_mux_001_src_endofpacket; // cmd_mux_001:src_endofpacket -> lcd_16207_control_slave_agent:cp_endofpacket
- wire [31:0] nios2_debug_mem_slave_agent_m0_readdata; // nios2_debug_mem_slave_translator:uav_readdata -> nios2_debug_mem_slave_agent:m0_readdata
- wire nios2_debug_mem_slave_agent_m0_waitrequest; // nios2_debug_mem_slave_translator:uav_waitrequest -> nios2_debug_mem_slave_agent:m0_waitrequest
- wire nios2_debug_mem_slave_agent_m0_debugaccess; // nios2_debug_mem_slave_agent:m0_debugaccess -> nios2_debug_mem_slave_translator:uav_debugaccess
- wire [19:0] nios2_debug_mem_slave_agent_m0_address; // nios2_debug_mem_slave_agent:m0_address -> nios2_debug_mem_slave_translator:uav_address
- wire [3:0] nios2_debug_mem_slave_agent_m0_byteenable; // nios2_debug_mem_slave_agent:m0_byteenable -> nios2_debug_mem_slave_translator:uav_byteenable
- wire nios2_debug_mem_slave_agent_m0_read; // nios2_debug_mem_slave_agent:m0_read -> nios2_debug_mem_slave_translator:uav_read
- wire nios2_debug_mem_slave_agent_m0_readdatavalid; // nios2_debug_mem_slave_translator:uav_readdatavalid -> nios2_debug_mem_slave_agent:m0_readdatavalid
- wire nios2_debug_mem_slave_agent_m0_lock; // nios2_debug_mem_slave_agent:m0_lock -> nios2_debug_mem_slave_translator:uav_lock
- wire [31:0] nios2_debug_mem_slave_agent_m0_writedata; // nios2_debug_mem_slave_agent:m0_writedata -> nios2_debug_mem_slave_translator:uav_writedata
- wire nios2_debug_mem_slave_agent_m0_write; // nios2_debug_mem_slave_agent:m0_write -> nios2_debug_mem_slave_translator:uav_write
- wire [2:0] nios2_debug_mem_slave_agent_m0_burstcount; // nios2_debug_mem_slave_agent:m0_burstcount -> nios2_debug_mem_slave_translator:uav_burstcount
- wire nios2_debug_mem_slave_agent_rf_source_valid; // nios2_debug_mem_slave_agent:rf_source_valid -> nios2_debug_mem_slave_agent_rsp_fifo:in_valid
- wire [96:0] nios2_debug_mem_slave_agent_rf_source_data; // nios2_debug_mem_slave_agent:rf_source_data -> nios2_debug_mem_slave_agent_rsp_fifo:in_data
- wire nios2_debug_mem_slave_agent_rf_source_ready; // nios2_debug_mem_slave_agent_rsp_fifo:in_ready -> nios2_debug_mem_slave_agent:rf_source_ready
- wire nios2_debug_mem_slave_agent_rf_source_startofpacket; // nios2_debug_mem_slave_agent:rf_source_startofpacket -> nios2_debug_mem_slave_agent_rsp_fifo:in_startofpacket
- wire nios2_debug_mem_slave_agent_rf_source_endofpacket; // nios2_debug_mem_slave_agent:rf_source_endofpacket -> nios2_debug_mem_slave_agent_rsp_fifo:in_endofpacket
- wire nios2_debug_mem_slave_agent_rsp_fifo_out_valid; // nios2_debug_mem_slave_agent_rsp_fifo:out_valid -> nios2_debug_mem_slave_agent:rf_sink_valid
- wire [96:0] nios2_debug_mem_slave_agent_rsp_fifo_out_data; // nios2_debug_mem_slave_agent_rsp_fifo:out_data -> nios2_debug_mem_slave_agent:rf_sink_data
- wire nios2_debug_mem_slave_agent_rsp_fifo_out_ready; // nios2_debug_mem_slave_agent:rf_sink_ready -> nios2_debug_mem_slave_agent_rsp_fifo:out_ready
- wire nios2_debug_mem_slave_agent_rsp_fifo_out_startofpacket; // nios2_debug_mem_slave_agent_rsp_fifo:out_startofpacket -> nios2_debug_mem_slave_agent:rf_sink_startofpacket
- wire nios2_debug_mem_slave_agent_rsp_fifo_out_endofpacket; // nios2_debug_mem_slave_agent_rsp_fifo:out_endofpacket -> nios2_debug_mem_slave_agent:rf_sink_endofpacket
- wire cmd_mux_002_src_valid; // cmd_mux_002:src_valid -> nios2_debug_mem_slave_agent:cp_valid
- wire [95:0] cmd_mux_002_src_data; // cmd_mux_002:src_data -> nios2_debug_mem_slave_agent:cp_data
- wire cmd_mux_002_src_ready; // nios2_debug_mem_slave_agent:cp_ready -> cmd_mux_002:src_ready
- wire [6:0] cmd_mux_002_src_channel; // cmd_mux_002:src_channel -> nios2_debug_mem_slave_agent:cp_channel
- wire cmd_mux_002_src_startofpacket; // cmd_mux_002:src_startofpacket -> nios2_debug_mem_slave_agent:cp_startofpacket
- wire cmd_mux_002_src_endofpacket; // cmd_mux_002:src_endofpacket -> nios2_debug_mem_slave_agent:cp_endofpacket
- wire [31:0] onchip_memory2_s1_agent_m0_readdata; // onchip_memory2_s1_translator:uav_readdata -> onchip_memory2_s1_agent:m0_readdata
- wire onchip_memory2_s1_agent_m0_waitrequest; // onchip_memory2_s1_translator:uav_waitrequest -> onchip_memory2_s1_agent:m0_waitrequest
- wire onchip_memory2_s1_agent_m0_debugaccess; // onchip_memory2_s1_agent:m0_debugaccess -> onchip_memory2_s1_translator:uav_debugaccess
- wire [19:0] onchip_memory2_s1_agent_m0_address; // onchip_memory2_s1_agent:m0_address -> onchip_memory2_s1_translator:uav_address
- wire [3:0] onchip_memory2_s1_agent_m0_byteenable; // onchip_memory2_s1_agent:m0_byteenable -> onchip_memory2_s1_translator:uav_byteenable
- wire onchip_memory2_s1_agent_m0_read; // onchip_memory2_s1_agent:m0_read -> onchip_memory2_s1_translator:uav_read
- wire onchip_memory2_s1_agent_m0_readdatavalid; // onchip_memory2_s1_translator:uav_readdatavalid -> onchip_memory2_s1_agent:m0_readdatavalid
- wire onchip_memory2_s1_agent_m0_lock; // onchip_memory2_s1_agent:m0_lock -> onchip_memory2_s1_translator:uav_lock
- wire [31:0] onchip_memory2_s1_agent_m0_writedata; // onchip_memory2_s1_agent:m0_writedata -> onchip_memory2_s1_translator:uav_writedata
- wire onchip_memory2_s1_agent_m0_write; // onchip_memory2_s1_agent:m0_write -> onchip_memory2_s1_translator:uav_write
- wire [2:0] onchip_memory2_s1_agent_m0_burstcount; // onchip_memory2_s1_agent:m0_burstcount -> onchip_memory2_s1_translator:uav_burstcount
- wire onchip_memory2_s1_agent_rf_source_valid; // onchip_memory2_s1_agent:rf_source_valid -> onchip_memory2_s1_agent_rsp_fifo:in_valid
- wire [96:0] onchip_memory2_s1_agent_rf_source_data; // onchip_memory2_s1_agent:rf_source_data -> onchip_memory2_s1_agent_rsp_fifo:in_data
- wire onchip_memory2_s1_agent_rf_source_ready; // onchip_memory2_s1_agent_rsp_fifo:in_ready -> onchip_memory2_s1_agent:rf_source_ready
- wire onchip_memory2_s1_agent_rf_source_startofpacket; // onchip_memory2_s1_agent:rf_source_startofpacket -> onchip_memory2_s1_agent_rsp_fifo:in_startofpacket
- wire onchip_memory2_s1_agent_rf_source_endofpacket; // onchip_memory2_s1_agent:rf_source_endofpacket -> onchip_memory2_s1_agent_rsp_fifo:in_endofpacket
- wire onchip_memory2_s1_agent_rsp_fifo_out_valid; // onchip_memory2_s1_agent_rsp_fifo:out_valid -> onchip_memory2_s1_agent:rf_sink_valid
- wire [96:0] onchip_memory2_s1_agent_rsp_fifo_out_data; // onchip_memory2_s1_agent_rsp_fifo:out_data -> onchip_memory2_s1_agent:rf_sink_data
- wire onchip_memory2_s1_agent_rsp_fifo_out_ready; // onchip_memory2_s1_agent:rf_sink_ready -> onchip_memory2_s1_agent_rsp_fifo:out_ready
- wire onchip_memory2_s1_agent_rsp_fifo_out_startofpacket; // onchip_memory2_s1_agent_rsp_fifo:out_startofpacket -> onchip_memory2_s1_agent:rf_sink_startofpacket
- wire onchip_memory2_s1_agent_rsp_fifo_out_endofpacket; // onchip_memory2_s1_agent_rsp_fifo:out_endofpacket -> onchip_memory2_s1_agent:rf_sink_endofpacket
- wire cmd_mux_003_src_valid; // cmd_mux_003:src_valid -> onchip_memory2_s1_agent:cp_valid
- wire [95:0] cmd_mux_003_src_data; // cmd_mux_003:src_data -> onchip_memory2_s1_agent:cp_data
- wire cmd_mux_003_src_ready; // onchip_memory2_s1_agent:cp_ready -> cmd_mux_003:src_ready
- wire [6:0] cmd_mux_003_src_channel; // cmd_mux_003:src_channel -> onchip_memory2_s1_agent:cp_channel
- wire cmd_mux_003_src_startofpacket; // cmd_mux_003:src_startofpacket -> onchip_memory2_s1_agent:cp_startofpacket
- wire cmd_mux_003_src_endofpacket; // cmd_mux_003:src_endofpacket -> onchip_memory2_s1_agent:cp_endofpacket
- wire [31:0] pio_led_s1_agent_m0_readdata; // pio_LED_s1_translator:uav_readdata -> pio_LED_s1_agent:m0_readdata
- wire pio_led_s1_agent_m0_waitrequest; // pio_LED_s1_translator:uav_waitrequest -> pio_LED_s1_agent:m0_waitrequest
- wire pio_led_s1_agent_m0_debugaccess; // pio_LED_s1_agent:m0_debugaccess -> pio_LED_s1_translator:uav_debugaccess
- wire [19:0] pio_led_s1_agent_m0_address; // pio_LED_s1_agent:m0_address -> pio_LED_s1_translator:uav_address
- wire [3:0] pio_led_s1_agent_m0_byteenable; // pio_LED_s1_agent:m0_byteenable -> pio_LED_s1_translator:uav_byteenable
- wire pio_led_s1_agent_m0_read; // pio_LED_s1_agent:m0_read -> pio_LED_s1_translator:uav_read
- wire pio_led_s1_agent_m0_readdatavalid; // pio_LED_s1_translator:uav_readdatavalid -> pio_LED_s1_agent:m0_readdatavalid
- wire pio_led_s1_agent_m0_lock; // pio_LED_s1_agent:m0_lock -> pio_LED_s1_translator:uav_lock
- wire [31:0] pio_led_s1_agent_m0_writedata; // pio_LED_s1_agent:m0_writedata -> pio_LED_s1_translator:uav_writedata
- wire pio_led_s1_agent_m0_write; // pio_LED_s1_agent:m0_write -> pio_LED_s1_translator:uav_write
- wire [2:0] pio_led_s1_agent_m0_burstcount; // pio_LED_s1_agent:m0_burstcount -> pio_LED_s1_translator:uav_burstcount
- wire pio_led_s1_agent_rf_source_valid; // pio_LED_s1_agent:rf_source_valid -> pio_LED_s1_agent_rsp_fifo:in_valid
- wire [96:0] pio_led_s1_agent_rf_source_data; // pio_LED_s1_agent:rf_source_data -> pio_LED_s1_agent_rsp_fifo:in_data
- wire pio_led_s1_agent_rf_source_ready; // pio_LED_s1_agent_rsp_fifo:in_ready -> pio_LED_s1_agent:rf_source_ready
- wire pio_led_s1_agent_rf_source_startofpacket; // pio_LED_s1_agent:rf_source_startofpacket -> pio_LED_s1_agent_rsp_fifo:in_startofpacket
- wire pio_led_s1_agent_rf_source_endofpacket; // pio_LED_s1_agent:rf_source_endofpacket -> pio_LED_s1_agent_rsp_fifo:in_endofpacket
- wire pio_led_s1_agent_rsp_fifo_out_valid; // pio_LED_s1_agent_rsp_fifo:out_valid -> pio_LED_s1_agent:rf_sink_valid
- wire [96:0] pio_led_s1_agent_rsp_fifo_out_data; // pio_LED_s1_agent_rsp_fifo:out_data -> pio_LED_s1_agent:rf_sink_data
- wire pio_led_s1_agent_rsp_fifo_out_ready; // pio_LED_s1_agent:rf_sink_ready -> pio_LED_s1_agent_rsp_fifo:out_ready
- wire pio_led_s1_agent_rsp_fifo_out_startofpacket; // pio_LED_s1_agent_rsp_fifo:out_startofpacket -> pio_LED_s1_agent:rf_sink_startofpacket
- wire pio_led_s1_agent_rsp_fifo_out_endofpacket; // pio_LED_s1_agent_rsp_fifo:out_endofpacket -> pio_LED_s1_agent:rf_sink_endofpacket
- wire cmd_mux_004_src_valid; // cmd_mux_004:src_valid -> pio_LED_s1_agent:cp_valid
- wire [95:0] cmd_mux_004_src_data; // cmd_mux_004:src_data -> pio_LED_s1_agent:cp_data
- wire cmd_mux_004_src_ready; // pio_LED_s1_agent:cp_ready -> cmd_mux_004:src_ready
- wire [6:0] cmd_mux_004_src_channel; // cmd_mux_004:src_channel -> pio_LED_s1_agent:cp_channel
- wire cmd_mux_004_src_startofpacket; // cmd_mux_004:src_startofpacket -> pio_LED_s1_agent:cp_startofpacket
- wire cmd_mux_004_src_endofpacket; // cmd_mux_004:src_endofpacket -> pio_LED_s1_agent:cp_endofpacket
- wire [31:0] pio_matrix_s1_agent_m0_readdata; // pio_MATRIX_s1_translator:uav_readdata -> pio_MATRIX_s1_agent:m0_readdata
- wire pio_matrix_s1_agent_m0_waitrequest; // pio_MATRIX_s1_translator:uav_waitrequest -> pio_MATRIX_s1_agent:m0_waitrequest
- wire pio_matrix_s1_agent_m0_debugaccess; // pio_MATRIX_s1_agent:m0_debugaccess -> pio_MATRIX_s1_translator:uav_debugaccess
- wire [19:0] pio_matrix_s1_agent_m0_address; // pio_MATRIX_s1_agent:m0_address -> pio_MATRIX_s1_translator:uav_address
- wire [3:0] pio_matrix_s1_agent_m0_byteenable; // pio_MATRIX_s1_agent:m0_byteenable -> pio_MATRIX_s1_translator:uav_byteenable
- wire pio_matrix_s1_agent_m0_read; // pio_MATRIX_s1_agent:m0_read -> pio_MATRIX_s1_translator:uav_read
- wire pio_matrix_s1_agent_m0_readdatavalid; // pio_MATRIX_s1_translator:uav_readdatavalid -> pio_MATRIX_s1_agent:m0_readdatavalid
- wire pio_matrix_s1_agent_m0_lock; // pio_MATRIX_s1_agent:m0_lock -> pio_MATRIX_s1_translator:uav_lock
- wire [31:0] pio_matrix_s1_agent_m0_writedata; // pio_MATRIX_s1_agent:m0_writedata -> pio_MATRIX_s1_translator:uav_writedata
- wire pio_matrix_s1_agent_m0_write; // pio_MATRIX_s1_agent:m0_write -> pio_MATRIX_s1_translator:uav_write
- wire [2:0] pio_matrix_s1_agent_m0_burstcount; // pio_MATRIX_s1_agent:m0_burstcount -> pio_MATRIX_s1_translator:uav_burstcount
- wire pio_matrix_s1_agent_rf_source_valid; // pio_MATRIX_s1_agent:rf_source_valid -> pio_MATRIX_s1_agent_rsp_fifo:in_valid
- wire [96:0] pio_matrix_s1_agent_rf_source_data; // pio_MATRIX_s1_agent:rf_source_data -> pio_MATRIX_s1_agent_rsp_fifo:in_data
- wire pio_matrix_s1_agent_rf_source_ready; // pio_MATRIX_s1_agent_rsp_fifo:in_ready -> pio_MATRIX_s1_agent:rf_source_ready
- wire pio_matrix_s1_agent_rf_source_startofpacket; // pio_MATRIX_s1_agent:rf_source_startofpacket -> pio_MATRIX_s1_agent_rsp_fifo:in_startofpacket
- wire pio_matrix_s1_agent_rf_source_endofpacket; // pio_MATRIX_s1_agent:rf_source_endofpacket -> pio_MATRIX_s1_agent_rsp_fifo:in_endofpacket
- wire pio_matrix_s1_agent_rsp_fifo_out_valid; // pio_MATRIX_s1_agent_rsp_fifo:out_valid -> pio_MATRIX_s1_agent:rf_sink_valid
- wire [96:0] pio_matrix_s1_agent_rsp_fifo_out_data; // pio_MATRIX_s1_agent_rsp_fifo:out_data -> pio_MATRIX_s1_agent:rf_sink_data
- wire pio_matrix_s1_agent_rsp_fifo_out_ready; // pio_MATRIX_s1_agent:rf_sink_ready -> pio_MATRIX_s1_agent_rsp_fifo:out_ready
- wire pio_matrix_s1_agent_rsp_fifo_out_startofpacket; // pio_MATRIX_s1_agent_rsp_fifo:out_startofpacket -> pio_MATRIX_s1_agent:rf_sink_startofpacket
- wire pio_matrix_s1_agent_rsp_fifo_out_endofpacket; // pio_MATRIX_s1_agent_rsp_fifo:out_endofpacket -> pio_MATRIX_s1_agent:rf_sink_endofpacket
- wire cmd_mux_005_src_valid; // cmd_mux_005:src_valid -> pio_MATRIX_s1_agent:cp_valid
- wire [95:0] cmd_mux_005_src_data; // cmd_mux_005:src_data -> pio_MATRIX_s1_agent:cp_data
- wire cmd_mux_005_src_ready; // pio_MATRIX_s1_agent:cp_ready -> cmd_mux_005:src_ready
- wire [6:0] cmd_mux_005_src_channel; // cmd_mux_005:src_channel -> pio_MATRIX_s1_agent:cp_channel
- wire cmd_mux_005_src_startofpacket; // cmd_mux_005:src_startofpacket -> pio_MATRIX_s1_agent:cp_startofpacket
- wire cmd_mux_005_src_endofpacket; // cmd_mux_005:src_endofpacket -> pio_MATRIX_s1_agent:cp_endofpacket
- wire [31:0] pio_button_s1_agent_m0_readdata; // pio_BUTTON_s1_translator:uav_readdata -> pio_BUTTON_s1_agent:m0_readdata
- wire pio_button_s1_agent_m0_waitrequest; // pio_BUTTON_s1_translator:uav_waitrequest -> pio_BUTTON_s1_agent:m0_waitrequest
- wire pio_button_s1_agent_m0_debugaccess; // pio_BUTTON_s1_agent:m0_debugaccess -> pio_BUTTON_s1_translator:uav_debugaccess
- wire [19:0] pio_button_s1_agent_m0_address; // pio_BUTTON_s1_agent:m0_address -> pio_BUTTON_s1_translator:uav_address
- wire [3:0] pio_button_s1_agent_m0_byteenable; // pio_BUTTON_s1_agent:m0_byteenable -> pio_BUTTON_s1_translator:uav_byteenable
- wire pio_button_s1_agent_m0_read; // pio_BUTTON_s1_agent:m0_read -> pio_BUTTON_s1_translator:uav_read
- wire pio_button_s1_agent_m0_readdatavalid; // pio_BUTTON_s1_translator:uav_readdatavalid -> pio_BUTTON_s1_agent:m0_readdatavalid
- wire pio_button_s1_agent_m0_lock; // pio_BUTTON_s1_agent:m0_lock -> pio_BUTTON_s1_translator:uav_lock
- wire [31:0] pio_button_s1_agent_m0_writedata; // pio_BUTTON_s1_agent:m0_writedata -> pio_BUTTON_s1_translator:uav_writedata
- wire pio_button_s1_agent_m0_write; // pio_BUTTON_s1_agent:m0_write -> pio_BUTTON_s1_translator:uav_write
- wire [2:0] pio_button_s1_agent_m0_burstcount; // pio_BUTTON_s1_agent:m0_burstcount -> pio_BUTTON_s1_translator:uav_burstcount
- wire pio_button_s1_agent_rf_source_valid; // pio_BUTTON_s1_agent:rf_source_valid -> pio_BUTTON_s1_agent_rsp_fifo:in_valid
- wire [96:0] pio_button_s1_agent_rf_source_data; // pio_BUTTON_s1_agent:rf_source_data -> pio_BUTTON_s1_agent_rsp_fifo:in_data
- wire pio_button_s1_agent_rf_source_ready; // pio_BUTTON_s1_agent_rsp_fifo:in_ready -> pio_BUTTON_s1_agent:rf_source_ready
- wire pio_button_s1_agent_rf_source_startofpacket; // pio_BUTTON_s1_agent:rf_source_startofpacket -> pio_BUTTON_s1_agent_rsp_fifo:in_startofpacket
- wire pio_button_s1_agent_rf_source_endofpacket; // pio_BUTTON_s1_agent:rf_source_endofpacket -> pio_BUTTON_s1_agent_rsp_fifo:in_endofpacket
- wire pio_button_s1_agent_rsp_fifo_out_valid; // pio_BUTTON_s1_agent_rsp_fifo:out_valid -> pio_BUTTON_s1_agent:rf_sink_valid
- wire [96:0] pio_button_s1_agent_rsp_fifo_out_data; // pio_BUTTON_s1_agent_rsp_fifo:out_data -> pio_BUTTON_s1_agent:rf_sink_data
- wire pio_button_s1_agent_rsp_fifo_out_ready; // pio_BUTTON_s1_agent:rf_sink_ready -> pio_BUTTON_s1_agent_rsp_fifo:out_ready
- wire pio_button_s1_agent_rsp_fifo_out_startofpacket; // pio_BUTTON_s1_agent_rsp_fifo:out_startofpacket -> pio_BUTTON_s1_agent:rf_sink_startofpacket
- wire pio_button_s1_agent_rsp_fifo_out_endofpacket; // pio_BUTTON_s1_agent_rsp_fifo:out_endofpacket -> pio_BUTTON_s1_agent:rf_sink_endofpacket
- wire cmd_mux_006_src_valid; // cmd_mux_006:src_valid -> pio_BUTTON_s1_agent:cp_valid
- wire [95:0] cmd_mux_006_src_data; // cmd_mux_006:src_data -> pio_BUTTON_s1_agent:cp_data
- wire cmd_mux_006_src_ready; // pio_BUTTON_s1_agent:cp_ready -> cmd_mux_006:src_ready
- wire [6:0] cmd_mux_006_src_channel; // cmd_mux_006:src_channel -> pio_BUTTON_s1_agent:cp_channel
- wire cmd_mux_006_src_startofpacket; // cmd_mux_006:src_startofpacket -> pio_BUTTON_s1_agent:cp_startofpacket
- wire cmd_mux_006_src_endofpacket; // cmd_mux_006:src_endofpacket -> pio_BUTTON_s1_agent:cp_endofpacket
- wire nios2_data_master_agent_cp_valid; // nios2_data_master_agent:cp_valid -> router:sink_valid
- wire [95:0] nios2_data_master_agent_cp_data; // nios2_data_master_agent:cp_data -> router:sink_data
- wire nios2_data_master_agent_cp_ready; // router:sink_ready -> nios2_data_master_agent:cp_ready
- wire nios2_data_master_agent_cp_startofpacket; // nios2_data_master_agent:cp_startofpacket -> router:sink_startofpacket
- wire nios2_data_master_agent_cp_endofpacket; // nios2_data_master_agent:cp_endofpacket -> router:sink_endofpacket
- wire router_src_valid; // router:src_valid -> cmd_demux:sink_valid
- wire [95:0] router_src_data; // router:src_data -> cmd_demux:sink_data
- wire router_src_ready; // cmd_demux:sink_ready -> router:src_ready
- wire [6:0] router_src_channel; // router:src_channel -> cmd_demux:sink_channel
- wire router_src_startofpacket; // router:src_startofpacket -> cmd_demux:sink_startofpacket
- wire router_src_endofpacket; // router:src_endofpacket -> cmd_demux:sink_endofpacket
- wire nios2_instruction_master_agent_cp_valid; // nios2_instruction_master_agent:cp_valid -> router_001:sink_valid
- wire [95:0] nios2_instruction_master_agent_cp_data; // nios2_instruction_master_agent:cp_data -> router_001:sink_data
- wire nios2_instruction_master_agent_cp_ready; // router_001:sink_ready -> nios2_instruction_master_agent:cp_ready
- wire nios2_instruction_master_agent_cp_startofpacket; // nios2_instruction_master_agent:cp_startofpacket -> router_001:sink_startofpacket
- wire nios2_instruction_master_agent_cp_endofpacket; // nios2_instruction_master_agent:cp_endofpacket -> router_001:sink_endofpacket
- wire router_001_src_valid; // router_001:src_valid -> cmd_demux_001:sink_valid
- wire [95:0] router_001_src_data; // router_001:src_data -> cmd_demux_001:sink_data
- wire router_001_src_ready; // cmd_demux_001:sink_ready -> router_001:src_ready
- wire [6:0] router_001_src_channel; // router_001:src_channel -> cmd_demux_001:sink_channel
- wire router_001_src_startofpacket; // router_001:src_startofpacket -> cmd_demux_001:sink_startofpacket
- wire router_001_src_endofpacket; // router_001:src_endofpacket -> cmd_demux_001:sink_endofpacket
- wire jtag_uart_avalon_jtag_slave_agent_rp_valid; // jtag_uart_avalon_jtag_slave_agent:rp_valid -> router_002:sink_valid
- wire [95:0] jtag_uart_avalon_jtag_slave_agent_rp_data; // jtag_uart_avalon_jtag_slave_agent:rp_data -> router_002:sink_data
- wire jtag_uart_avalon_jtag_slave_agent_rp_ready; // router_002:sink_ready -> jtag_uart_avalon_jtag_slave_agent:rp_ready
- wire jtag_uart_avalon_jtag_slave_agent_rp_startofpacket; // jtag_uart_avalon_jtag_slave_agent:rp_startofpacket -> router_002:sink_startofpacket
- wire jtag_uart_avalon_jtag_slave_agent_rp_endofpacket; // jtag_uart_avalon_jtag_slave_agent:rp_endofpacket -> router_002:sink_endofpacket
- wire router_002_src_valid; // router_002:src_valid -> rsp_demux:sink_valid
- wire [95:0] router_002_src_data; // router_002:src_data -> rsp_demux:sink_data
- wire router_002_src_ready; // rsp_demux:sink_ready -> router_002:src_ready
- wire [6:0] router_002_src_channel; // router_002:src_channel -> rsp_demux:sink_channel
- wire router_002_src_startofpacket; // router_002:src_startofpacket -> rsp_demux:sink_startofpacket
- wire router_002_src_endofpacket; // router_002:src_endofpacket -> rsp_demux:sink_endofpacket
- wire lcd_16207_control_slave_agent_rp_valid; // lcd_16207_control_slave_agent:rp_valid -> router_003:sink_valid
- wire [95:0] lcd_16207_control_slave_agent_rp_data; // lcd_16207_control_slave_agent:rp_data -> router_003:sink_data
- wire lcd_16207_control_slave_agent_rp_ready; // router_003:sink_ready -> lcd_16207_control_slave_agent:rp_ready
- wire lcd_16207_control_slave_agent_rp_startofpacket; // lcd_16207_control_slave_agent:rp_startofpacket -> router_003:sink_startofpacket
- wire lcd_16207_control_slave_agent_rp_endofpacket; // lcd_16207_control_slave_agent:rp_endofpacket -> router_003:sink_endofpacket
- wire router_003_src_valid; // router_003:src_valid -> rsp_demux_001:sink_valid
- wire [95:0] router_003_src_data; // router_003:src_data -> rsp_demux_001:sink_data
- wire router_003_src_ready; // rsp_demux_001:sink_ready -> router_003:src_ready
- wire [6:0] router_003_src_channel; // router_003:src_channel -> rsp_demux_001:sink_channel
- wire router_003_src_startofpacket; // router_003:src_startofpacket -> rsp_demux_001:sink_startofpacket
- wire router_003_src_endofpacket; // router_003:src_endofpacket -> rsp_demux_001:sink_endofpacket
- wire nios2_debug_mem_slave_agent_rp_valid; // nios2_debug_mem_slave_agent:rp_valid -> router_004:sink_valid
- wire [95:0] nios2_debug_mem_slave_agent_rp_data; // nios2_debug_mem_slave_agent:rp_data -> router_004:sink_data
- wire nios2_debug_mem_slave_agent_rp_ready; // router_004:sink_ready -> nios2_debug_mem_slave_agent:rp_ready
- wire nios2_debug_mem_slave_agent_rp_startofpacket; // nios2_debug_mem_slave_agent:rp_startofpacket -> router_004:sink_startofpacket
- wire nios2_debug_mem_slave_agent_rp_endofpacket; // nios2_debug_mem_slave_agent:rp_endofpacket -> router_004:sink_endofpacket
- wire router_004_src_valid; // router_004:src_valid -> rsp_demux_002:sink_valid
- wire [95:0] router_004_src_data; // router_004:src_data -> rsp_demux_002:sink_data
- wire router_004_src_ready; // rsp_demux_002:sink_ready -> router_004:src_ready
- wire [6:0] router_004_src_channel; // router_004:src_channel -> rsp_demux_002:sink_channel
- wire router_004_src_startofpacket; // router_004:src_startofpacket -> rsp_demux_002:sink_startofpacket
- wire router_004_src_endofpacket; // router_004:src_endofpacket -> rsp_demux_002:sink_endofpacket
- wire onchip_memory2_s1_agent_rp_valid; // onchip_memory2_s1_agent:rp_valid -> router_005:sink_valid
- wire [95:0] onchip_memory2_s1_agent_rp_data; // onchip_memory2_s1_agent:rp_data -> router_005:sink_data
- wire onchip_memory2_s1_agent_rp_ready; // router_005:sink_ready -> onchip_memory2_s1_agent:rp_ready
- wire onchip_memory2_s1_agent_rp_startofpacket; // onchip_memory2_s1_agent:rp_startofpacket -> router_005:sink_startofpacket
- wire onchip_memory2_s1_agent_rp_endofpacket; // onchip_memory2_s1_agent:rp_endofpacket -> router_005:sink_endofpacket
- wire router_005_src_valid; // router_005:src_valid -> rsp_demux_003:sink_valid
- wire [95:0] router_005_src_data; // router_005:src_data -> rsp_demux_003:sink_data
- wire router_005_src_ready; // rsp_demux_003:sink_ready -> router_005:src_ready
- wire [6:0] router_005_src_channel; // router_005:src_channel -> rsp_demux_003:sink_channel
- wire router_005_src_startofpacket; // router_005:src_startofpacket -> rsp_demux_003:sink_startofpacket
- wire router_005_src_endofpacket; // router_005:src_endofpacket -> rsp_demux_003:sink_endofpacket
- wire pio_led_s1_agent_rp_valid; // pio_LED_s1_agent:rp_valid -> router_006:sink_valid
- wire [95:0] pio_led_s1_agent_rp_data; // pio_LED_s1_agent:rp_data -> router_006:sink_data
- wire pio_led_s1_agent_rp_ready; // router_006:sink_ready -> pio_LED_s1_agent:rp_ready
- wire pio_led_s1_agent_rp_startofpacket; // pio_LED_s1_agent:rp_startofpacket -> router_006:sink_startofpacket
- wire pio_led_s1_agent_rp_endofpacket; // pio_LED_s1_agent:rp_endofpacket -> router_006:sink_endofpacket
- wire router_006_src_valid; // router_006:src_valid -> rsp_demux_004:sink_valid
- wire [95:0] router_006_src_data; // router_006:src_data -> rsp_demux_004:sink_data
- wire router_006_src_ready; // rsp_demux_004:sink_ready -> router_006:src_ready
- wire [6:0] router_006_src_channel; // router_006:src_channel -> rsp_demux_004:sink_channel
- wire router_006_src_startofpacket; // router_006:src_startofpacket -> rsp_demux_004:sink_startofpacket
- wire router_006_src_endofpacket; // router_006:src_endofpacket -> rsp_demux_004:sink_endofpacket
- wire pio_matrix_s1_agent_rp_valid; // pio_MATRIX_s1_agent:rp_valid -> router_007:sink_valid
- wire [95:0] pio_matrix_s1_agent_rp_data; // pio_MATRIX_s1_agent:rp_data -> router_007:sink_data
- wire pio_matrix_s1_agent_rp_ready; // router_007:sink_ready -> pio_MATRIX_s1_agent:rp_ready
- wire pio_matrix_s1_agent_rp_startofpacket; // pio_MATRIX_s1_agent:rp_startofpacket -> router_007:sink_startofpacket
- wire pio_matrix_s1_agent_rp_endofpacket; // pio_MATRIX_s1_agent:rp_endofpacket -> router_007:sink_endofpacket
- wire router_007_src_valid; // router_007:src_valid -> rsp_demux_005:sink_valid
- wire [95:0] router_007_src_data; // router_007:src_data -> rsp_demux_005:sink_data
- wire router_007_src_ready; // rsp_demux_005:sink_ready -> router_007:src_ready
- wire [6:0] router_007_src_channel; // router_007:src_channel -> rsp_demux_005:sink_channel
- wire router_007_src_startofpacket; // router_007:src_startofpacket -> rsp_demux_005:sink_startofpacket
- wire router_007_src_endofpacket; // router_007:src_endofpacket -> rsp_demux_005:sink_endofpacket
- wire pio_button_s1_agent_rp_valid; // pio_BUTTON_s1_agent:rp_valid -> router_008:sink_valid
- wire [95:0] pio_button_s1_agent_rp_data; // pio_BUTTON_s1_agent:rp_data -> router_008:sink_data
- wire pio_button_s1_agent_rp_ready; // router_008:sink_ready -> pio_BUTTON_s1_agent:rp_ready
- wire pio_button_s1_agent_rp_startofpacket; // pio_BUTTON_s1_agent:rp_startofpacket -> router_008:sink_startofpacket
- wire pio_button_s1_agent_rp_endofpacket; // pio_BUTTON_s1_agent:rp_endofpacket -> router_008:sink_endofpacket
- wire router_008_src_valid; // router_008:src_valid -> rsp_demux_006:sink_valid
- wire [95:0] router_008_src_data; // router_008:src_data -> rsp_demux_006:sink_data
- wire router_008_src_ready; // rsp_demux_006:sink_ready -> router_008:src_ready
- wire [6:0] router_008_src_channel; // router_008:src_channel -> rsp_demux_006:sink_channel
- wire router_008_src_startofpacket; // router_008:src_startofpacket -> rsp_demux_006:sink_startofpacket
- wire router_008_src_endofpacket; // router_008:src_endofpacket -> rsp_demux_006:sink_endofpacket
- wire cmd_demux_src0_valid; // cmd_demux:src0_valid -> cmd_mux:sink0_valid
- wire [95:0] cmd_demux_src0_data; // cmd_demux:src0_data -> cmd_mux:sink0_data
- wire cmd_demux_src0_ready; // cmd_mux:sink0_ready -> cmd_demux:src0_ready
- wire [6:0] cmd_demux_src0_channel; // cmd_demux:src0_channel -> cmd_mux:sink0_channel
- wire cmd_demux_src0_startofpacket; // cmd_demux:src0_startofpacket -> cmd_mux:sink0_startofpacket
- wire cmd_demux_src0_endofpacket; // cmd_demux:src0_endofpacket -> cmd_mux:sink0_endofpacket
- wire cmd_demux_src1_valid; // cmd_demux:src1_valid -> cmd_mux_001:sink0_valid
- wire [95:0] cmd_demux_src1_data; // cmd_demux:src1_data -> cmd_mux_001:sink0_data
- wire cmd_demux_src1_ready; // cmd_mux_001:sink0_ready -> cmd_demux:src1_ready
- wire [6:0] cmd_demux_src1_channel; // cmd_demux:src1_channel -> cmd_mux_001:sink0_channel
- wire cmd_demux_src1_startofpacket; // cmd_demux:src1_startofpacket -> cmd_mux_001:sink0_startofpacket
- wire cmd_demux_src1_endofpacket; // cmd_demux:src1_endofpacket -> cmd_mux_001:sink0_endofpacket
- wire cmd_demux_src2_valid; // cmd_demux:src2_valid -> cmd_mux_002:sink0_valid
- wire [95:0] cmd_demux_src2_data; // cmd_demux:src2_data -> cmd_mux_002:sink0_data
- wire cmd_demux_src2_ready; // cmd_mux_002:sink0_ready -> cmd_demux:src2_ready
- wire [6:0] cmd_demux_src2_channel; // cmd_demux:src2_channel -> cmd_mux_002:sink0_channel
- wire cmd_demux_src2_startofpacket; // cmd_demux:src2_startofpacket -> cmd_mux_002:sink0_startofpacket
- wire cmd_demux_src2_endofpacket; // cmd_demux:src2_endofpacket -> cmd_mux_002:sink0_endofpacket
- wire cmd_demux_src3_valid; // cmd_demux:src3_valid -> cmd_mux_003:sink0_valid
- wire [95:0] cmd_demux_src3_data; // cmd_demux:src3_data -> cmd_mux_003:sink0_data
- wire cmd_demux_src3_ready; // cmd_mux_003:sink0_ready -> cmd_demux:src3_ready
- wire [6:0] cmd_demux_src3_channel; // cmd_demux:src3_channel -> cmd_mux_003:sink0_channel
- wire cmd_demux_src3_startofpacket; // cmd_demux:src3_startofpacket -> cmd_mux_003:sink0_startofpacket
- wire cmd_demux_src3_endofpacket; // cmd_demux:src3_endofpacket -> cmd_mux_003:sink0_endofpacket
- wire cmd_demux_src4_valid; // cmd_demux:src4_valid -> cmd_mux_004:sink0_valid
- wire [95:0] cmd_demux_src4_data; // cmd_demux:src4_data -> cmd_mux_004:sink0_data
- wire cmd_demux_src4_ready; // cmd_mux_004:sink0_ready -> cmd_demux:src4_ready
- wire [6:0] cmd_demux_src4_channel; // cmd_demux:src4_channel -> cmd_mux_004:sink0_channel
- wire cmd_demux_src4_startofpacket; // cmd_demux:src4_startofpacket -> cmd_mux_004:sink0_startofpacket
- wire cmd_demux_src4_endofpacket; // cmd_demux:src4_endofpacket -> cmd_mux_004:sink0_endofpacket
- wire cmd_demux_src5_valid; // cmd_demux:src5_valid -> cmd_mux_005:sink0_valid
- wire [95:0] cmd_demux_src5_data; // cmd_demux:src5_data -> cmd_mux_005:sink0_data
- wire cmd_demux_src5_ready; // cmd_mux_005:sink0_ready -> cmd_demux:src5_ready
- wire [6:0] cmd_demux_src5_channel; // cmd_demux:src5_channel -> cmd_mux_005:sink0_channel
- wire cmd_demux_src5_startofpacket; // cmd_demux:src5_startofpacket -> cmd_mux_005:sink0_startofpacket
- wire cmd_demux_src5_endofpacket; // cmd_demux:src5_endofpacket -> cmd_mux_005:sink0_endofpacket
- wire cmd_demux_src6_valid; // cmd_demux:src6_valid -> cmd_mux_006:sink0_valid
- wire [95:0] cmd_demux_src6_data; // cmd_demux:src6_data -> cmd_mux_006:sink0_data
- wire cmd_demux_src6_ready; // cmd_mux_006:sink0_ready -> cmd_demux:src6_ready
- wire [6:0] cmd_demux_src6_channel; // cmd_demux:src6_channel -> cmd_mux_006:sink0_channel
- wire cmd_demux_src6_startofpacket; // cmd_demux:src6_startofpacket -> cmd_mux_006:sink0_startofpacket
- wire cmd_demux_src6_endofpacket; // cmd_demux:src6_endofpacket -> cmd_mux_006:sink0_endofpacket
- wire cmd_demux_001_src0_valid; // cmd_demux_001:src0_valid -> cmd_mux:sink1_valid
- wire [95:0] cmd_demux_001_src0_data; // cmd_demux_001:src0_data -> cmd_mux:sink1_data
- wire cmd_demux_001_src0_ready; // cmd_mux:sink1_ready -> cmd_demux_001:src0_ready
- wire [6:0] cmd_demux_001_src0_channel; // cmd_demux_001:src0_channel -> cmd_mux:sink1_channel
- wire cmd_demux_001_src0_startofpacket; // cmd_demux_001:src0_startofpacket -> cmd_mux:sink1_startofpacket
- wire cmd_demux_001_src0_endofpacket; // cmd_demux_001:src0_endofpacket -> cmd_mux:sink1_endofpacket
- wire cmd_demux_001_src1_valid; // cmd_demux_001:src1_valid -> cmd_mux_001:sink1_valid
- wire [95:0] cmd_demux_001_src1_data; // cmd_demux_001:src1_data -> cmd_mux_001:sink1_data
- wire cmd_demux_001_src1_ready; // cmd_mux_001:sink1_ready -> cmd_demux_001:src1_ready
- wire [6:0] cmd_demux_001_src1_channel; // cmd_demux_001:src1_channel -> cmd_mux_001:sink1_channel
- wire cmd_demux_001_src1_startofpacket; // cmd_demux_001:src1_startofpacket -> cmd_mux_001:sink1_startofpacket
- wire cmd_demux_001_src1_endofpacket; // cmd_demux_001:src1_endofpacket -> cmd_mux_001:sink1_endofpacket
- wire cmd_demux_001_src2_valid; // cmd_demux_001:src2_valid -> cmd_mux_002:sink1_valid
- wire [95:0] cmd_demux_001_src2_data; // cmd_demux_001:src2_data -> cmd_mux_002:sink1_data
- wire cmd_demux_001_src2_ready; // cmd_mux_002:sink1_ready -> cmd_demux_001:src2_ready
- wire [6:0] cmd_demux_001_src2_channel; // cmd_demux_001:src2_channel -> cmd_mux_002:sink1_channel
- wire cmd_demux_001_src2_startofpacket; // cmd_demux_001:src2_startofpacket -> cmd_mux_002:sink1_startofpacket
- wire cmd_demux_001_src2_endofpacket; // cmd_demux_001:src2_endofpacket -> cmd_mux_002:sink1_endofpacket
- wire cmd_demux_001_src3_valid; // cmd_demux_001:src3_valid -> cmd_mux_003:sink1_valid
- wire [95:0] cmd_demux_001_src3_data; // cmd_demux_001:src3_data -> cmd_mux_003:sink1_data
- wire cmd_demux_001_src3_ready; // cmd_mux_003:sink1_ready -> cmd_demux_001:src3_ready
- wire [6:0] cmd_demux_001_src3_channel; // cmd_demux_001:src3_channel -> cmd_mux_003:sink1_channel
- wire cmd_demux_001_src3_startofpacket; // cmd_demux_001:src3_startofpacket -> cmd_mux_003:sink1_startofpacket
- wire cmd_demux_001_src3_endofpacket; // cmd_demux_001:src3_endofpacket -> cmd_mux_003:sink1_endofpacket
- wire cmd_demux_001_src4_valid; // cmd_demux_001:src4_valid -> cmd_mux_004:sink1_valid
- wire [95:0] cmd_demux_001_src4_data; // cmd_demux_001:src4_data -> cmd_mux_004:sink1_data
- wire cmd_demux_001_src4_ready; // cmd_mux_004:sink1_ready -> cmd_demux_001:src4_ready
- wire [6:0] cmd_demux_001_src4_channel; // cmd_demux_001:src4_channel -> cmd_mux_004:sink1_channel
- wire cmd_demux_001_src4_startofpacket; // cmd_demux_001:src4_startofpacket -> cmd_mux_004:sink1_startofpacket
- wire cmd_demux_001_src4_endofpacket; // cmd_demux_001:src4_endofpacket -> cmd_mux_004:sink1_endofpacket
- wire cmd_demux_001_src5_valid; // cmd_demux_001:src5_valid -> cmd_mux_005:sink1_valid
- wire [95:0] cmd_demux_001_src5_data; // cmd_demux_001:src5_data -> cmd_mux_005:sink1_data
- wire cmd_demux_001_src5_ready; // cmd_mux_005:sink1_ready -> cmd_demux_001:src5_ready
- wire [6:0] cmd_demux_001_src5_channel; // cmd_demux_001:src5_channel -> cmd_mux_005:sink1_channel
- wire cmd_demux_001_src5_startofpacket; // cmd_demux_001:src5_startofpacket -> cmd_mux_005:sink1_startofpacket
- wire cmd_demux_001_src5_endofpacket; // cmd_demux_001:src5_endofpacket -> cmd_mux_005:sink1_endofpacket
- wire cmd_demux_001_src6_valid; // cmd_demux_001:src6_valid -> cmd_mux_006:sink1_valid
- wire [95:0] cmd_demux_001_src6_data; // cmd_demux_001:src6_data -> cmd_mux_006:sink1_data
- wire cmd_demux_001_src6_ready; // cmd_mux_006:sink1_ready -> cmd_demux_001:src6_ready
- wire [6:0] cmd_demux_001_src6_channel; // cmd_demux_001:src6_channel -> cmd_mux_006:sink1_channel
- wire cmd_demux_001_src6_startofpacket; // cmd_demux_001:src6_startofpacket -> cmd_mux_006:sink1_startofpacket
- wire cmd_demux_001_src6_endofpacket; // cmd_demux_001:src6_endofpacket -> cmd_mux_006:sink1_endofpacket
- wire rsp_demux_src0_valid; // rsp_demux:src0_valid -> rsp_mux:sink0_valid
- wire [95:0] rsp_demux_src0_data; // rsp_demux:src0_data -> rsp_mux:sink0_data
- wire rsp_demux_src0_ready; // rsp_mux:sink0_ready -> rsp_demux:src0_ready
- wire [6:0] rsp_demux_src0_channel; // rsp_demux:src0_channel -> rsp_mux:sink0_channel
- wire rsp_demux_src0_startofpacket; // rsp_demux:src0_startofpacket -> rsp_mux:sink0_startofpacket
- wire rsp_demux_src0_endofpacket; // rsp_demux:src0_endofpacket -> rsp_mux:sink0_endofpacket
- wire rsp_demux_src1_valid; // rsp_demux:src1_valid -> rsp_mux_001:sink0_valid
- wire [95:0] rsp_demux_src1_data; // rsp_demux:src1_data -> rsp_mux_001:sink0_data
- wire rsp_demux_src1_ready; // rsp_mux_001:sink0_ready -> rsp_demux:src1_ready
- wire [6:0] rsp_demux_src1_channel; // rsp_demux:src1_channel -> rsp_mux_001:sink0_channel
- wire rsp_demux_src1_startofpacket; // rsp_demux:src1_startofpacket -> rsp_mux_001:sink0_startofpacket
- wire rsp_demux_src1_endofpacket; // rsp_demux:src1_endofpacket -> rsp_mux_001:sink0_endofpacket
- wire rsp_demux_001_src0_valid; // rsp_demux_001:src0_valid -> rsp_mux:sink1_valid
- wire [95:0] rsp_demux_001_src0_data; // rsp_demux_001:src0_data -> rsp_mux:sink1_data
- wire rsp_demux_001_src0_ready; // rsp_mux:sink1_ready -> rsp_demux_001:src0_ready
- wire [6:0] rsp_demux_001_src0_channel; // rsp_demux_001:src0_channel -> rsp_mux:sink1_channel
- wire rsp_demux_001_src0_startofpacket; // rsp_demux_001:src0_startofpacket -> rsp_mux:sink1_startofpacket
- wire rsp_demux_001_src0_endofpacket; // rsp_demux_001:src0_endofpacket -> rsp_mux:sink1_endofpacket
- wire rsp_demux_001_src1_valid; // rsp_demux_001:src1_valid -> rsp_mux_001:sink1_valid
- wire [95:0] rsp_demux_001_src1_data; // rsp_demux_001:src1_data -> rsp_mux_001:sink1_data
- wire rsp_demux_001_src1_ready; // rsp_mux_001:sink1_ready -> rsp_demux_001:src1_ready
- wire [6:0] rsp_demux_001_src1_channel; // rsp_demux_001:src1_channel -> rsp_mux_001:sink1_channel
- wire rsp_demux_001_src1_startofpacket; // rsp_demux_001:src1_startofpacket -> rsp_mux_001:sink1_startofpacket
- wire rsp_demux_001_src1_endofpacket; // rsp_demux_001:src1_endofpacket -> rsp_mux_001:sink1_endofpacket
- wire rsp_demux_002_src0_valid; // rsp_demux_002:src0_valid -> rsp_mux:sink2_valid
- wire [95:0] rsp_demux_002_src0_data; // rsp_demux_002:src0_data -> rsp_mux:sink2_data
- wire rsp_demux_002_src0_ready; // rsp_mux:sink2_ready -> rsp_demux_002:src0_ready
- wire [6:0] rsp_demux_002_src0_channel; // rsp_demux_002:src0_channel -> rsp_mux:sink2_channel
- wire rsp_demux_002_src0_startofpacket; // rsp_demux_002:src0_startofpacket -> rsp_mux:sink2_startofpacket
- wire rsp_demux_002_src0_endofpacket; // rsp_demux_002:src0_endofpacket -> rsp_mux:sink2_endofpacket
- wire rsp_demux_002_src1_valid; // rsp_demux_002:src1_valid -> rsp_mux_001:sink2_valid
- wire [95:0] rsp_demux_002_src1_data; // rsp_demux_002:src1_data -> rsp_mux_001:sink2_data
- wire rsp_demux_002_src1_ready; // rsp_mux_001:sink2_ready -> rsp_demux_002:src1_ready
- wire [6:0] rsp_demux_002_src1_channel; // rsp_demux_002:src1_channel -> rsp_mux_001:sink2_channel
- wire rsp_demux_002_src1_startofpacket; // rsp_demux_002:src1_startofpacket -> rsp_mux_001:sink2_startofpacket
- wire rsp_demux_002_src1_endofpacket; // rsp_demux_002:src1_endofpacket -> rsp_mux_001:sink2_endofpacket
- wire rsp_demux_003_src0_valid; // rsp_demux_003:src0_valid -> rsp_mux:sink3_valid
- wire [95:0] rsp_demux_003_src0_data; // rsp_demux_003:src0_data -> rsp_mux:sink3_data
- wire rsp_demux_003_src0_ready; // rsp_mux:sink3_ready -> rsp_demux_003:src0_ready
- wire [6:0] rsp_demux_003_src0_channel; // rsp_demux_003:src0_channel -> rsp_mux:sink3_channel
- wire rsp_demux_003_src0_startofpacket; // rsp_demux_003:src0_startofpacket -> rsp_mux:sink3_startofpacket
- wire rsp_demux_003_src0_endofpacket; // rsp_demux_003:src0_endofpacket -> rsp_mux:sink3_endofpacket
- wire rsp_demux_003_src1_valid; // rsp_demux_003:src1_valid -> rsp_mux_001:sink3_valid
- wire [95:0] rsp_demux_003_src1_data; // rsp_demux_003:src1_data -> rsp_mux_001:sink3_data
- wire rsp_demux_003_src1_ready; // rsp_mux_001:sink3_ready -> rsp_demux_003:src1_ready
- wire [6:0] rsp_demux_003_src1_channel; // rsp_demux_003:src1_channel -> rsp_mux_001:sink3_channel
- wire rsp_demux_003_src1_startofpacket; // rsp_demux_003:src1_startofpacket -> rsp_mux_001:sink3_startofpacket
- wire rsp_demux_003_src1_endofpacket; // rsp_demux_003:src1_endofpacket -> rsp_mux_001:sink3_endofpacket
- wire rsp_demux_004_src0_valid; // rsp_demux_004:src0_valid -> rsp_mux:sink4_valid
- wire [95:0] rsp_demux_004_src0_data; // rsp_demux_004:src0_data -> rsp_mux:sink4_data
- wire rsp_demux_004_src0_ready; // rsp_mux:sink4_ready -> rsp_demux_004:src0_ready
- wire [6:0] rsp_demux_004_src0_channel; // rsp_demux_004:src0_channel -> rsp_mux:sink4_channel
- wire rsp_demux_004_src0_startofpacket; // rsp_demux_004:src0_startofpacket -> rsp_mux:sink4_startofpacket
- wire rsp_demux_004_src0_endofpacket; // rsp_demux_004:src0_endofpacket -> rsp_mux:sink4_endofpacket
- wire rsp_demux_004_src1_valid; // rsp_demux_004:src1_valid -> rsp_mux_001:sink4_valid
- wire [95:0] rsp_demux_004_src1_data; // rsp_demux_004:src1_data -> rsp_mux_001:sink4_data
- wire rsp_demux_004_src1_ready; // rsp_mux_001:sink4_ready -> rsp_demux_004:src1_ready
- wire [6:0] rsp_demux_004_src1_channel; // rsp_demux_004:src1_channel -> rsp_mux_001:sink4_channel
- wire rsp_demux_004_src1_startofpacket; // rsp_demux_004:src1_startofpacket -> rsp_mux_001:sink4_startofpacket
- wire rsp_demux_004_src1_endofpacket; // rsp_demux_004:src1_endofpacket -> rsp_mux_001:sink4_endofpacket
- wire rsp_demux_005_src0_valid; // rsp_demux_005:src0_valid -> rsp_mux:sink5_valid
- wire [95:0] rsp_demux_005_src0_data; // rsp_demux_005:src0_data -> rsp_mux:sink5_data
- wire rsp_demux_005_src0_ready; // rsp_mux:sink5_ready -> rsp_demux_005:src0_ready
- wire [6:0] rsp_demux_005_src0_channel; // rsp_demux_005:src0_channel -> rsp_mux:sink5_channel
- wire rsp_demux_005_src0_startofpacket; // rsp_demux_005:src0_startofpacket -> rsp_mux:sink5_startofpacket
- wire rsp_demux_005_src0_endofpacket; // rsp_demux_005:src0_endofpacket -> rsp_mux:sink5_endofpacket
- wire rsp_demux_005_src1_valid; // rsp_demux_005:src1_valid -> rsp_mux_001:sink5_valid
- wire [95:0] rsp_demux_005_src1_data; // rsp_demux_005:src1_data -> rsp_mux_001:sink5_data
- wire rsp_demux_005_src1_ready; // rsp_mux_001:sink5_ready -> rsp_demux_005:src1_ready
- wire [6:0] rsp_demux_005_src1_channel; // rsp_demux_005:src1_channel -> rsp_mux_001:sink5_channel
- wire rsp_demux_005_src1_startofpacket; // rsp_demux_005:src1_startofpacket -> rsp_mux_001:sink5_startofpacket
- wire rsp_demux_005_src1_endofpacket; // rsp_demux_005:src1_endofpacket -> rsp_mux_001:sink5_endofpacket
- wire rsp_demux_006_src0_valid; // rsp_demux_006:src0_valid -> rsp_mux:sink6_valid
- wire [95:0] rsp_demux_006_src0_data; // rsp_demux_006:src0_data -> rsp_mux:sink6_data
- wire rsp_demux_006_src0_ready; // rsp_mux:sink6_ready -> rsp_demux_006:src0_ready
- wire [6:0] rsp_demux_006_src0_channel; // rsp_demux_006:src0_channel -> rsp_mux:sink6_channel
- wire rsp_demux_006_src0_startofpacket; // rsp_demux_006:src0_startofpacket -> rsp_mux:sink6_startofpacket
- wire rsp_demux_006_src0_endofpacket; // rsp_demux_006:src0_endofpacket -> rsp_mux:sink6_endofpacket
- wire rsp_demux_006_src1_valid; // rsp_demux_006:src1_valid -> rsp_mux_001:sink6_valid
- wire [95:0] rsp_demux_006_src1_data; // rsp_demux_006:src1_data -> rsp_mux_001:sink6_data
- wire rsp_demux_006_src1_ready; // rsp_mux_001:sink6_ready -> rsp_demux_006:src1_ready
- wire [6:0] rsp_demux_006_src1_channel; // rsp_demux_006:src1_channel -> rsp_mux_001:sink6_channel
- wire rsp_demux_006_src1_startofpacket; // rsp_demux_006:src1_startofpacket -> rsp_mux_001:sink6_startofpacket
- wire rsp_demux_006_src1_endofpacket; // rsp_demux_006:src1_endofpacket -> rsp_mux_001:sink6_endofpacket
- wire jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_valid; // jtag_uart_avalon_jtag_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter:in_0_valid
- wire [33:0] jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_data; // jtag_uart_avalon_jtag_slave_agent:rdata_fifo_src_data -> avalon_st_adapter:in_0_data
- wire jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter:in_0_ready -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_out_0_valid; // avalon_st_adapter:out_0_valid -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_out_0_data; // avalon_st_adapter:out_0_data -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_out_0_ready; // jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter:out_0_ready
- wire [0:0] avalon_st_adapter_out_0_error; // avalon_st_adapter:out_0_error -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_error
- wire lcd_16207_control_slave_agent_rdata_fifo_src_valid; // lcd_16207_control_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter_001:in_0_valid
- wire [33:0] lcd_16207_control_slave_agent_rdata_fifo_src_data; // lcd_16207_control_slave_agent:rdata_fifo_src_data -> avalon_st_adapter_001:in_0_data
- wire lcd_16207_control_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter_001:in_0_ready -> lcd_16207_control_slave_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_001_out_0_valid; // avalon_st_adapter_001:out_0_valid -> lcd_16207_control_slave_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_001_out_0_data; // avalon_st_adapter_001:out_0_data -> lcd_16207_control_slave_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_001_out_0_ready; // lcd_16207_control_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter_001:out_0_ready
- wire [0:0] avalon_st_adapter_001_out_0_error; // avalon_st_adapter_001:out_0_error -> lcd_16207_control_slave_agent:rdata_fifo_sink_error
- wire nios2_debug_mem_slave_agent_rdata_fifo_src_valid; // nios2_debug_mem_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter_002:in_0_valid
- wire [33:0] nios2_debug_mem_slave_agent_rdata_fifo_src_data; // nios2_debug_mem_slave_agent:rdata_fifo_src_data -> avalon_st_adapter_002:in_0_data
- wire nios2_debug_mem_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter_002:in_0_ready -> nios2_debug_mem_slave_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_002_out_0_valid; // avalon_st_adapter_002:out_0_valid -> nios2_debug_mem_slave_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_002_out_0_data; // avalon_st_adapter_002:out_0_data -> nios2_debug_mem_slave_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_002_out_0_ready; // nios2_debug_mem_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter_002:out_0_ready
- wire [0:0] avalon_st_adapter_002_out_0_error; // avalon_st_adapter_002:out_0_error -> nios2_debug_mem_slave_agent:rdata_fifo_sink_error
- wire onchip_memory2_s1_agent_rdata_fifo_src_valid; // onchip_memory2_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_003:in_0_valid
- wire [33:0] onchip_memory2_s1_agent_rdata_fifo_src_data; // onchip_memory2_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_003:in_0_data
- wire onchip_memory2_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_003:in_0_ready -> onchip_memory2_s1_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_003_out_0_valid; // avalon_st_adapter_003:out_0_valid -> onchip_memory2_s1_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_003_out_0_data; // avalon_st_adapter_003:out_0_data -> onchip_memory2_s1_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_003_out_0_ready; // onchip_memory2_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_003:out_0_ready
- wire [0:0] avalon_st_adapter_003_out_0_error; // avalon_st_adapter_003:out_0_error -> onchip_memory2_s1_agent:rdata_fifo_sink_error
- wire pio_led_s1_agent_rdata_fifo_src_valid; // pio_LED_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_004:in_0_valid
- wire [33:0] pio_led_s1_agent_rdata_fifo_src_data; // pio_LED_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_004:in_0_data
- wire pio_led_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_004:in_0_ready -> pio_LED_s1_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_004_out_0_valid; // avalon_st_adapter_004:out_0_valid -> pio_LED_s1_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_004_out_0_data; // avalon_st_adapter_004:out_0_data -> pio_LED_s1_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_004_out_0_ready; // pio_LED_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_004:out_0_ready
- wire [0:0] avalon_st_adapter_004_out_0_error; // avalon_st_adapter_004:out_0_error -> pio_LED_s1_agent:rdata_fifo_sink_error
- wire pio_matrix_s1_agent_rdata_fifo_src_valid; // pio_MATRIX_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_005:in_0_valid
- wire [33:0] pio_matrix_s1_agent_rdata_fifo_src_data; // pio_MATRIX_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_005:in_0_data
- wire pio_matrix_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_005:in_0_ready -> pio_MATRIX_s1_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_005_out_0_valid; // avalon_st_adapter_005:out_0_valid -> pio_MATRIX_s1_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_005_out_0_data; // avalon_st_adapter_005:out_0_data -> pio_MATRIX_s1_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_005_out_0_ready; // pio_MATRIX_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_005:out_0_ready
- wire [0:0] avalon_st_adapter_005_out_0_error; // avalon_st_adapter_005:out_0_error -> pio_MATRIX_s1_agent:rdata_fifo_sink_error
- wire pio_button_s1_agent_rdata_fifo_src_valid; // pio_BUTTON_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_006:in_0_valid
- wire [33:0] pio_button_s1_agent_rdata_fifo_src_data; // pio_BUTTON_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_006:in_0_data
- wire pio_button_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_006:in_0_ready -> pio_BUTTON_s1_agent:rdata_fifo_src_ready
- wire avalon_st_adapter_006_out_0_valid; // avalon_st_adapter_006:out_0_valid -> pio_BUTTON_s1_agent:rdata_fifo_sink_valid
- wire [33:0] avalon_st_adapter_006_out_0_data; // avalon_st_adapter_006:out_0_data -> pio_BUTTON_s1_agent:rdata_fifo_sink_data
- wire avalon_st_adapter_006_out_0_ready; // pio_BUTTON_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_006:out_0_ready
- wire [0:0] avalon_st_adapter_006_out_0_error; // avalon_st_adapter_006:out_0_error -> pio_BUTTON_s1_agent:rdata_fifo_sink_error
- altera_merlin_master_translator #(
- .AV_ADDRESS_W (20),
- .AV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .USE_READ (1),
- .USE_WRITE (1),
- .USE_BEGINBURSTTRANSFER (0),
- .USE_BEGINTRANSFER (0),
- .USE_CHIPSELECT (0),
- .USE_BURSTCOUNT (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (1),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_LINEWRAPBURSTS (0),
- .AV_REGISTERINCOMINGSIGNALS (1)
- ) nios2_data_master_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (nios2_data_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address
- .uav_burstcount (nios2_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount
- .uav_read (nios2_data_master_translator_avalon_universal_master_0_read), // .read
- .uav_write (nios2_data_master_translator_avalon_universal_master_0_write), // .write
- .uav_waitrequest (nios2_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
- .uav_readdatavalid (nios2_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
- .uav_byteenable (nios2_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable
- .uav_readdata (nios2_data_master_translator_avalon_universal_master_0_readdata), // .readdata
- .uav_writedata (nios2_data_master_translator_avalon_universal_master_0_writedata), // .writedata
- .uav_lock (nios2_data_master_translator_avalon_universal_master_0_lock), // .lock
- .uav_debugaccess (nios2_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
- .av_address (nios2_data_master_address), // avalon_anti_master_0.address
- .av_waitrequest (nios2_data_master_waitrequest), // .waitrequest
- .av_byteenable (nios2_data_master_byteenable), // .byteenable
- .av_read (nios2_data_master_read), // .read
- .av_readdata (nios2_data_master_readdata), // .readdata
- .av_write (nios2_data_master_write), // .write
- .av_writedata (nios2_data_master_writedata), // .writedata
- .av_debugaccess (nios2_data_master_debugaccess), // .debugaccess
- .av_burstcount (1'b1), // (terminated)
- .av_beginbursttransfer (1'b0), // (terminated)
- .av_begintransfer (1'b0), // (terminated)
- .av_chipselect (1'b0), // (terminated)
- .av_readdatavalid (), // (terminated)
- .av_lock (1'b0), // (terminated)
- .uav_clken (), // (terminated)
- .av_clken (1'b1), // (terminated)
- .uav_response (2'b00), // (terminated)
- .av_response (), // (terminated)
- .uav_writeresponsevalid (1'b0), // (terminated)
- .av_writeresponsevalid () // (terminated)
- );
- altera_merlin_master_translator #(
- .AV_ADDRESS_W (20),
- .AV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .USE_READ (1),
- .USE_WRITE (0),
- .USE_BEGINBURSTTRANSFER (0),
- .USE_BEGINTRANSFER (0),
- .USE_CHIPSELECT (0),
- .USE_BURSTCOUNT (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (1),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_LINEWRAPBURSTS (1),
- .AV_REGISTERINCOMINGSIGNALS (0)
- ) nios2_instruction_master_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (nios2_instruction_master_translator_avalon_universal_master_0_address), // avalon_universal_master_0.address
- .uav_burstcount (nios2_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount
- .uav_read (nios2_instruction_master_translator_avalon_universal_master_0_read), // .read
- .uav_write (nios2_instruction_master_translator_avalon_universal_master_0_write), // .write
- .uav_waitrequest (nios2_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
- .uav_readdatavalid (nios2_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
- .uav_byteenable (nios2_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable
- .uav_readdata (nios2_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata
- .uav_writedata (nios2_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata
- .uav_lock (nios2_instruction_master_translator_avalon_universal_master_0_lock), // .lock
- .uav_debugaccess (nios2_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
- .av_address (nios2_instruction_master_address), // avalon_anti_master_0.address
- .av_waitrequest (nios2_instruction_master_waitrequest), // .waitrequest
- .av_read (nios2_instruction_master_read), // .read
- .av_readdata (nios2_instruction_master_readdata), // .readdata
- .av_burstcount (1'b1), // (terminated)
- .av_byteenable (4'b1111), // (terminated)
- .av_beginbursttransfer (1'b0), // (terminated)
- .av_begintransfer (1'b0), // (terminated)
- .av_chipselect (1'b0), // (terminated)
- .av_readdatavalid (), // (terminated)
- .av_write (1'b0), // (terminated)
- .av_writedata (32'b00000000000000000000000000000000), // (terminated)
- .av_lock (1'b0), // (terminated)
- .av_debugaccess (1'b0), // (terminated)
- .uav_clken (), // (terminated)
- .av_clken (1'b1), // (terminated)
- .uav_response (2'b00), // (terminated)
- .av_response (), // (terminated)
- .uav_writeresponsevalid (1'b0), // (terminated)
- .av_writeresponsevalid () // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (1),
- .AV_DATA_W (32),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (1),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (1),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (1),
- .AV_WRITE_WAIT_CYCLES (0),
- .AV_SETUP_WAIT_CYCLES (0),
- .AV_DATA_HOLD_CYCLES (0)
- ) jtag_uart_avalon_jtag_slave_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (jtag_uart_avalon_jtag_slave_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (jtag_uart_avalon_jtag_slave_agent_m0_burstcount), // .burstcount
- .uav_read (jtag_uart_avalon_jtag_slave_agent_m0_read), // .read
- .uav_write (jtag_uart_avalon_jtag_slave_agent_m0_write), // .write
- .uav_waitrequest (jtag_uart_avalon_jtag_slave_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (jtag_uart_avalon_jtag_slave_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (jtag_uart_avalon_jtag_slave_agent_m0_byteenable), // .byteenable
- .uav_readdata (jtag_uart_avalon_jtag_slave_agent_m0_readdata), // .readdata
- .uav_writedata (jtag_uart_avalon_jtag_slave_agent_m0_writedata), // .writedata
- .uav_lock (jtag_uart_avalon_jtag_slave_agent_m0_lock), // .lock
- .uav_debugaccess (jtag_uart_avalon_jtag_slave_agent_m0_debugaccess), // .debugaccess
- .av_address (jtag_uart_avalon_jtag_slave_address), // avalon_anti_slave_0.address
- .av_write (jtag_uart_avalon_jtag_slave_write), // .write
- .av_read (jtag_uart_avalon_jtag_slave_read), // .read
- .av_readdata (jtag_uart_avalon_jtag_slave_readdata), // .readdata
- .av_writedata (jtag_uart_avalon_jtag_slave_writedata), // .writedata
- .av_waitrequest (jtag_uart_avalon_jtag_slave_waitrequest), // .waitrequest
- .av_chipselect (jtag_uart_avalon_jtag_slave_chipselect), // .chipselect
- .av_begintransfer (), // (terminated)
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_byteenable (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .av_clken (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_debugaccess (), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (2),
- .AV_DATA_W (8),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (1),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (0),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (13),
- .AV_WRITE_WAIT_CYCLES (13),
- .AV_SETUP_WAIT_CYCLES (13),
- .AV_DATA_HOLD_CYCLES (13)
- ) lcd_16207_control_slave_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (lcd_16207_control_slave_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (lcd_16207_control_slave_agent_m0_burstcount), // .burstcount
- .uav_read (lcd_16207_control_slave_agent_m0_read), // .read
- .uav_write (lcd_16207_control_slave_agent_m0_write), // .write
- .uav_waitrequest (lcd_16207_control_slave_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (lcd_16207_control_slave_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (lcd_16207_control_slave_agent_m0_byteenable), // .byteenable
- .uav_readdata (lcd_16207_control_slave_agent_m0_readdata), // .readdata
- .uav_writedata (lcd_16207_control_slave_agent_m0_writedata), // .writedata
- .uav_lock (lcd_16207_control_slave_agent_m0_lock), // .lock
- .uav_debugaccess (lcd_16207_control_slave_agent_m0_debugaccess), // .debugaccess
- .av_address (lcd_16207_control_slave_address), // avalon_anti_slave_0.address
- .av_write (lcd_16207_control_slave_write), // .write
- .av_read (lcd_16207_control_slave_read), // .read
- .av_readdata (lcd_16207_control_slave_readdata), // .readdata
- .av_writedata (lcd_16207_control_slave_writedata), // .writedata
- .av_begintransfer (lcd_16207_control_slave_begintransfer), // .begintransfer
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_byteenable (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_waitrequest (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .av_chipselect (), // (terminated)
- .av_clken (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_debugaccess (), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (9),
- .AV_DATA_W (32),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (4),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (1),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (1),
- .AV_WRITE_WAIT_CYCLES (0),
- .AV_SETUP_WAIT_CYCLES (0),
- .AV_DATA_HOLD_CYCLES (0)
- ) nios2_debug_mem_slave_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (nios2_debug_mem_slave_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (nios2_debug_mem_slave_agent_m0_burstcount), // .burstcount
- .uav_read (nios2_debug_mem_slave_agent_m0_read), // .read
- .uav_write (nios2_debug_mem_slave_agent_m0_write), // .write
- .uav_waitrequest (nios2_debug_mem_slave_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (nios2_debug_mem_slave_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (nios2_debug_mem_slave_agent_m0_byteenable), // .byteenable
- .uav_readdata (nios2_debug_mem_slave_agent_m0_readdata), // .readdata
- .uav_writedata (nios2_debug_mem_slave_agent_m0_writedata), // .writedata
- .uav_lock (nios2_debug_mem_slave_agent_m0_lock), // .lock
- .uav_debugaccess (nios2_debug_mem_slave_agent_m0_debugaccess), // .debugaccess
- .av_address (nios2_debug_mem_slave_address), // avalon_anti_slave_0.address
- .av_write (nios2_debug_mem_slave_write), // .write
- .av_read (nios2_debug_mem_slave_read), // .read
- .av_readdata (nios2_debug_mem_slave_readdata), // .readdata
- .av_writedata (nios2_debug_mem_slave_writedata), // .writedata
- .av_byteenable (nios2_debug_mem_slave_byteenable), // .byteenable
- .av_waitrequest (nios2_debug_mem_slave_waitrequest), // .waitrequest
- .av_debugaccess (nios2_debug_mem_slave_debugaccess), // .debugaccess
- .av_begintransfer (), // (terminated)
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .av_chipselect (), // (terminated)
- .av_clken (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (16),
- .AV_DATA_W (32),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (4),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (1),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (0),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (0),
- .AV_WRITE_WAIT_CYCLES (0),
- .AV_SETUP_WAIT_CYCLES (0),
- .AV_DATA_HOLD_CYCLES (0)
- ) onchip_memory2_s1_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (onchip_memory2_s1_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (onchip_memory2_s1_agent_m0_burstcount), // .burstcount
- .uav_read (onchip_memory2_s1_agent_m0_read), // .read
- .uav_write (onchip_memory2_s1_agent_m0_write), // .write
- .uav_waitrequest (onchip_memory2_s1_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (onchip_memory2_s1_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (onchip_memory2_s1_agent_m0_byteenable), // .byteenable
- .uav_readdata (onchip_memory2_s1_agent_m0_readdata), // .readdata
- .uav_writedata (onchip_memory2_s1_agent_m0_writedata), // .writedata
- .uav_lock (onchip_memory2_s1_agent_m0_lock), // .lock
- .uav_debugaccess (onchip_memory2_s1_agent_m0_debugaccess), // .debugaccess
- .av_address (onchip_memory2_s1_address), // avalon_anti_slave_0.address
- .av_write (onchip_memory2_s1_write), // .write
- .av_readdata (onchip_memory2_s1_readdata), // .readdata
- .av_writedata (onchip_memory2_s1_writedata), // .writedata
- .av_byteenable (onchip_memory2_s1_byteenable), // .byteenable
- .av_chipselect (onchip_memory2_s1_chipselect), // .chipselect
- .av_clken (onchip_memory2_s1_clken), // .clken
- .av_read (), // (terminated)
- .av_begintransfer (), // (terminated)
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_waitrequest (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_debugaccess (), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (2),
- .AV_DATA_W (32),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (1),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (0),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (1),
- .AV_WRITE_WAIT_CYCLES (0),
- .AV_SETUP_WAIT_CYCLES (0),
- .AV_DATA_HOLD_CYCLES (0)
- ) pio_led_s1_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (pio_led_s1_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (pio_led_s1_agent_m0_burstcount), // .burstcount
- .uav_read (pio_led_s1_agent_m0_read), // .read
- .uav_write (pio_led_s1_agent_m0_write), // .write
- .uav_waitrequest (pio_led_s1_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (pio_led_s1_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (pio_led_s1_agent_m0_byteenable), // .byteenable
- .uav_readdata (pio_led_s1_agent_m0_readdata), // .readdata
- .uav_writedata (pio_led_s1_agent_m0_writedata), // .writedata
- .uav_lock (pio_led_s1_agent_m0_lock), // .lock
- .uav_debugaccess (pio_led_s1_agent_m0_debugaccess), // .debugaccess
- .av_address (pio_LED_s1_address), // avalon_anti_slave_0.address
- .av_write (pio_LED_s1_write), // .write
- .av_readdata (pio_LED_s1_readdata), // .readdata
- .av_writedata (pio_LED_s1_writedata), // .writedata
- .av_chipselect (pio_LED_s1_chipselect), // .chipselect
- .av_read (), // (terminated)
- .av_begintransfer (), // (terminated)
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_byteenable (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_waitrequest (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .av_clken (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_debugaccess (), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (2),
- .AV_DATA_W (32),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (1),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (0),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (1),
- .AV_WRITE_WAIT_CYCLES (0),
- .AV_SETUP_WAIT_CYCLES (0),
- .AV_DATA_HOLD_CYCLES (0)
- ) pio_matrix_s1_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (pio_matrix_s1_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (pio_matrix_s1_agent_m0_burstcount), // .burstcount
- .uav_read (pio_matrix_s1_agent_m0_read), // .read
- .uav_write (pio_matrix_s1_agent_m0_write), // .write
- .uav_waitrequest (pio_matrix_s1_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (pio_matrix_s1_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (pio_matrix_s1_agent_m0_byteenable), // .byteenable
- .uav_readdata (pio_matrix_s1_agent_m0_readdata), // .readdata
- .uav_writedata (pio_matrix_s1_agent_m0_writedata), // .writedata
- .uav_lock (pio_matrix_s1_agent_m0_lock), // .lock
- .uav_debugaccess (pio_matrix_s1_agent_m0_debugaccess), // .debugaccess
- .av_address (pio_MATRIX_s1_address), // avalon_anti_slave_0.address
- .av_write (pio_MATRIX_s1_write), // .write
- .av_readdata (pio_MATRIX_s1_readdata), // .readdata
- .av_writedata (pio_MATRIX_s1_writedata), // .writedata
- .av_chipselect (pio_MATRIX_s1_chipselect), // .chipselect
- .av_read (), // (terminated)
- .av_begintransfer (), // (terminated)
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_byteenable (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_waitrequest (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .av_clken (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_debugaccess (), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_slave_translator #(
- .AV_ADDRESS_W (2),
- .AV_DATA_W (32),
- .UAV_DATA_W (32),
- .AV_BURSTCOUNT_W (1),
- .AV_BYTEENABLE_W (1),
- .UAV_BYTEENABLE_W (4),
- .UAV_ADDRESS_W (20),
- .UAV_BURSTCOUNT_W (3),
- .AV_READLATENCY (0),
- .USE_READDATAVALID (0),
- .USE_WAITREQUEST (0),
- .USE_UAV_CLKEN (0),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .AV_SYMBOLS_PER_WORD (4),
- .AV_ADDRESS_SYMBOLS (0),
- .AV_BURSTCOUNT_SYMBOLS (0),
- .AV_CONSTANT_BURST_BEHAVIOR (0),
- .UAV_CONSTANT_BURST_BEHAVIOR (0),
- .AV_REQUIRE_UNALIGNED_ADDRESSES (0),
- .CHIPSELECT_THROUGH_READLATENCY (0),
- .AV_READ_WAIT_CYCLES (1),
- .AV_WRITE_WAIT_CYCLES (0),
- .AV_SETUP_WAIT_CYCLES (0),
- .AV_DATA_HOLD_CYCLES (0)
- ) pio_button_s1_translator (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // reset.reset
- .uav_address (pio_button_s1_agent_m0_address), // avalon_universal_slave_0.address
- .uav_burstcount (pio_button_s1_agent_m0_burstcount), // .burstcount
- .uav_read (pio_button_s1_agent_m0_read), // .read
- .uav_write (pio_button_s1_agent_m0_write), // .write
- .uav_waitrequest (pio_button_s1_agent_m0_waitrequest), // .waitrequest
- .uav_readdatavalid (pio_button_s1_agent_m0_readdatavalid), // .readdatavalid
- .uav_byteenable (pio_button_s1_agent_m0_byteenable), // .byteenable
- .uav_readdata (pio_button_s1_agent_m0_readdata), // .readdata
- .uav_writedata (pio_button_s1_agent_m0_writedata), // .writedata
- .uav_lock (pio_button_s1_agent_m0_lock), // .lock
- .uav_debugaccess (pio_button_s1_agent_m0_debugaccess), // .debugaccess
- .av_address (pio_BUTTON_s1_address), // avalon_anti_slave_0.address
- .av_readdata (pio_BUTTON_s1_readdata), // .readdata
- .av_write (), // (terminated)
- .av_read (), // (terminated)
- .av_writedata (), // (terminated)
- .av_begintransfer (), // (terminated)
- .av_beginbursttransfer (), // (terminated)
- .av_burstcount (), // (terminated)
- .av_byteenable (), // (terminated)
- .av_readdatavalid (1'b0), // (terminated)
- .av_waitrequest (1'b0), // (terminated)
- .av_writebyteenable (), // (terminated)
- .av_lock (), // (terminated)
- .av_chipselect (), // (terminated)
- .av_clken (), // (terminated)
- .uav_clken (1'b0), // (terminated)
- .av_debugaccess (), // (terminated)
- .av_outputenable (), // (terminated)
- .uav_response (), // (terminated)
- .av_response (2'b00), // (terminated)
- .uav_writeresponsevalid (), // (terminated)
- .av_writeresponsevalid (1'b0) // (terminated)
- );
- altera_merlin_master_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_QOS_H (76),
- .PKT_QOS_L (76),
- .PKT_DATA_SIDEBAND_H (74),
- .PKT_DATA_SIDEBAND_L (74),
- .PKT_ADDR_SIDEBAND_H (73),
- .PKT_ADDR_SIDEBAND_L (73),
- .PKT_BURST_TYPE_H (72),
- .PKT_BURST_TYPE_L (71),
- .PKT_CACHE_H (90),
- .PKT_CACHE_L (87),
- .PKT_THREAD_ID_H (83),
- .PKT_THREAD_ID_L (83),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_EXCLUSIVE (61),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .ST_DATA_W (96),
- .ST_CHANNEL_W (7),
- .AV_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_RSP (0),
- .ID (0),
- .BURSTWRAP_VALUE (7),
- .CACHE_VALUE (0),
- .SECURE_ACCESS_BIT (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0)
- ) nios2_data_master_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .av_address (nios2_data_master_translator_avalon_universal_master_0_address), // av.address
- .av_write (nios2_data_master_translator_avalon_universal_master_0_write), // .write
- .av_read (nios2_data_master_translator_avalon_universal_master_0_read), // .read
- .av_writedata (nios2_data_master_translator_avalon_universal_master_0_writedata), // .writedata
- .av_readdata (nios2_data_master_translator_avalon_universal_master_0_readdata), // .readdata
- .av_waitrequest (nios2_data_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
- .av_readdatavalid (nios2_data_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
- .av_byteenable (nios2_data_master_translator_avalon_universal_master_0_byteenable), // .byteenable
- .av_burstcount (nios2_data_master_translator_avalon_universal_master_0_burstcount), // .burstcount
- .av_debugaccess (nios2_data_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
- .av_lock (nios2_data_master_translator_avalon_universal_master_0_lock), // .lock
- .cp_valid (nios2_data_master_agent_cp_valid), // cp.valid
- .cp_data (nios2_data_master_agent_cp_data), // .data
- .cp_startofpacket (nios2_data_master_agent_cp_startofpacket), // .startofpacket
- .cp_endofpacket (nios2_data_master_agent_cp_endofpacket), // .endofpacket
- .cp_ready (nios2_data_master_agent_cp_ready), // .ready
- .rp_valid (rsp_mux_src_valid), // rp.valid
- .rp_data (rsp_mux_src_data), // .data
- .rp_channel (rsp_mux_src_channel), // .channel
- .rp_startofpacket (rsp_mux_src_startofpacket), // .startofpacket
- .rp_endofpacket (rsp_mux_src_endofpacket), // .endofpacket
- .rp_ready (rsp_mux_src_ready), // .ready
- .av_response (), // (terminated)
- .av_writeresponsevalid () // (terminated)
- );
- altera_merlin_master_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_QOS_H (76),
- .PKT_QOS_L (76),
- .PKT_DATA_SIDEBAND_H (74),
- .PKT_DATA_SIDEBAND_L (74),
- .PKT_ADDR_SIDEBAND_H (73),
- .PKT_ADDR_SIDEBAND_L (73),
- .PKT_BURST_TYPE_H (72),
- .PKT_BURST_TYPE_L (71),
- .PKT_CACHE_H (90),
- .PKT_CACHE_L (87),
- .PKT_THREAD_ID_H (83),
- .PKT_THREAD_ID_L (83),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_EXCLUSIVE (61),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .ST_DATA_W (96),
- .ST_CHANNEL_W (7),
- .AV_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_RSP (0),
- .ID (1),
- .BURSTWRAP_VALUE (3),
- .CACHE_VALUE (0),
- .SECURE_ACCESS_BIT (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0)
- ) nios2_instruction_master_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .av_address (nios2_instruction_master_translator_avalon_universal_master_0_address), // av.address
- .av_write (nios2_instruction_master_translator_avalon_universal_master_0_write), // .write
- .av_read (nios2_instruction_master_translator_avalon_universal_master_0_read), // .read
- .av_writedata (nios2_instruction_master_translator_avalon_universal_master_0_writedata), // .writedata
- .av_readdata (nios2_instruction_master_translator_avalon_universal_master_0_readdata), // .readdata
- .av_waitrequest (nios2_instruction_master_translator_avalon_universal_master_0_waitrequest), // .waitrequest
- .av_readdatavalid (nios2_instruction_master_translator_avalon_universal_master_0_readdatavalid), // .readdatavalid
- .av_byteenable (nios2_instruction_master_translator_avalon_universal_master_0_byteenable), // .byteenable
- .av_burstcount (nios2_instruction_master_translator_avalon_universal_master_0_burstcount), // .burstcount
- .av_debugaccess (nios2_instruction_master_translator_avalon_universal_master_0_debugaccess), // .debugaccess
- .av_lock (nios2_instruction_master_translator_avalon_universal_master_0_lock), // .lock
- .cp_valid (nios2_instruction_master_agent_cp_valid), // cp.valid
- .cp_data (nios2_instruction_master_agent_cp_data), // .data
- .cp_startofpacket (nios2_instruction_master_agent_cp_startofpacket), // .startofpacket
- .cp_endofpacket (nios2_instruction_master_agent_cp_endofpacket), // .endofpacket
- .cp_ready (nios2_instruction_master_agent_cp_ready), // .ready
- .rp_valid (rsp_mux_001_src_valid), // rp.valid
- .rp_data (rsp_mux_001_src_data), // .data
- .rp_channel (rsp_mux_001_src_channel), // .channel
- .rp_startofpacket (rsp_mux_001_src_startofpacket), // .startofpacket
- .rp_endofpacket (rsp_mux_001_src_endofpacket), // .endofpacket
- .rp_ready (rsp_mux_001_src_ready), // .ready
- .av_response (), // (terminated)
- .av_writeresponsevalid () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) jtag_uart_avalon_jtag_slave_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (jtag_uart_avalon_jtag_slave_agent_m0_address), // m0.address
- .m0_burstcount (jtag_uart_avalon_jtag_slave_agent_m0_burstcount), // .burstcount
- .m0_byteenable (jtag_uart_avalon_jtag_slave_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (jtag_uart_avalon_jtag_slave_agent_m0_debugaccess), // .debugaccess
- .m0_lock (jtag_uart_avalon_jtag_slave_agent_m0_lock), // .lock
- .m0_readdata (jtag_uart_avalon_jtag_slave_agent_m0_readdata), // .readdata
- .m0_readdatavalid (jtag_uart_avalon_jtag_slave_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (jtag_uart_avalon_jtag_slave_agent_m0_read), // .read
- .m0_waitrequest (jtag_uart_avalon_jtag_slave_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (jtag_uart_avalon_jtag_slave_agent_m0_writedata), // .writedata
- .m0_write (jtag_uart_avalon_jtag_slave_agent_m0_write), // .write
- .rp_endofpacket (jtag_uart_avalon_jtag_slave_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (jtag_uart_avalon_jtag_slave_agent_rp_ready), // .ready
- .rp_valid (jtag_uart_avalon_jtag_slave_agent_rp_valid), // .valid
- .rp_data (jtag_uart_avalon_jtag_slave_agent_rp_data), // .data
- .rp_startofpacket (jtag_uart_avalon_jtag_slave_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_src_ready), // cp.ready
- .cp_valid (cmd_mux_src_valid), // .valid
- .cp_data (cmd_mux_src_data), // .data
- .cp_startofpacket (cmd_mux_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_src_channel), // .channel
- .rf_sink_ready (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (jtag_uart_avalon_jtag_slave_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (jtag_uart_avalon_jtag_slave_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (jtag_uart_avalon_jtag_slave_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (jtag_uart_avalon_jtag_slave_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (jtag_uart_avalon_jtag_slave_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_out_0_error), // .error
- .rdata_fifo_src_ready (jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) jtag_uart_avalon_jtag_slave_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (jtag_uart_avalon_jtag_slave_agent_rf_source_data), // in.data
- .in_valid (jtag_uart_avalon_jtag_slave_agent_rf_source_valid), // .valid
- .in_ready (jtag_uart_avalon_jtag_slave_agent_rf_source_ready), // .ready
- .in_startofpacket (jtag_uart_avalon_jtag_slave_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (jtag_uart_avalon_jtag_slave_agent_rf_source_endofpacket), // .endofpacket
- .out_data (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_data), // out.data
- .out_valid (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_valid), // .valid
- .out_ready (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) lcd_16207_control_slave_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (lcd_16207_control_slave_agent_m0_address), // m0.address
- .m0_burstcount (lcd_16207_control_slave_agent_m0_burstcount), // .burstcount
- .m0_byteenable (lcd_16207_control_slave_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (lcd_16207_control_slave_agent_m0_debugaccess), // .debugaccess
- .m0_lock (lcd_16207_control_slave_agent_m0_lock), // .lock
- .m0_readdata (lcd_16207_control_slave_agent_m0_readdata), // .readdata
- .m0_readdatavalid (lcd_16207_control_slave_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (lcd_16207_control_slave_agent_m0_read), // .read
- .m0_waitrequest (lcd_16207_control_slave_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (lcd_16207_control_slave_agent_m0_writedata), // .writedata
- .m0_write (lcd_16207_control_slave_agent_m0_write), // .write
- .rp_endofpacket (lcd_16207_control_slave_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (lcd_16207_control_slave_agent_rp_ready), // .ready
- .rp_valid (lcd_16207_control_slave_agent_rp_valid), // .valid
- .rp_data (lcd_16207_control_slave_agent_rp_data), // .data
- .rp_startofpacket (lcd_16207_control_slave_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_001_src_ready), // cp.ready
- .cp_valid (cmd_mux_001_src_valid), // .valid
- .cp_data (cmd_mux_001_src_data), // .data
- .cp_startofpacket (cmd_mux_001_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_001_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_001_src_channel), // .channel
- .rf_sink_ready (lcd_16207_control_slave_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (lcd_16207_control_slave_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (lcd_16207_control_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (lcd_16207_control_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (lcd_16207_control_slave_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (lcd_16207_control_slave_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (lcd_16207_control_slave_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (lcd_16207_control_slave_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (lcd_16207_control_slave_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (lcd_16207_control_slave_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_001_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_001_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_001_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_001_out_0_error), // .error
- .rdata_fifo_src_ready (lcd_16207_control_slave_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (lcd_16207_control_slave_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (lcd_16207_control_slave_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) lcd_16207_control_slave_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (lcd_16207_control_slave_agent_rf_source_data), // in.data
- .in_valid (lcd_16207_control_slave_agent_rf_source_valid), // .valid
- .in_ready (lcd_16207_control_slave_agent_rf_source_ready), // .ready
- .in_startofpacket (lcd_16207_control_slave_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (lcd_16207_control_slave_agent_rf_source_endofpacket), // .endofpacket
- .out_data (lcd_16207_control_slave_agent_rsp_fifo_out_data), // out.data
- .out_valid (lcd_16207_control_slave_agent_rsp_fifo_out_valid), // .valid
- .out_ready (lcd_16207_control_slave_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (lcd_16207_control_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (lcd_16207_control_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) nios2_debug_mem_slave_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (nios2_debug_mem_slave_agent_m0_address), // m0.address
- .m0_burstcount (nios2_debug_mem_slave_agent_m0_burstcount), // .burstcount
- .m0_byteenable (nios2_debug_mem_slave_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (nios2_debug_mem_slave_agent_m0_debugaccess), // .debugaccess
- .m0_lock (nios2_debug_mem_slave_agent_m0_lock), // .lock
- .m0_readdata (nios2_debug_mem_slave_agent_m0_readdata), // .readdata
- .m0_readdatavalid (nios2_debug_mem_slave_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (nios2_debug_mem_slave_agent_m0_read), // .read
- .m0_waitrequest (nios2_debug_mem_slave_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (nios2_debug_mem_slave_agent_m0_writedata), // .writedata
- .m0_write (nios2_debug_mem_slave_agent_m0_write), // .write
- .rp_endofpacket (nios2_debug_mem_slave_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (nios2_debug_mem_slave_agent_rp_ready), // .ready
- .rp_valid (nios2_debug_mem_slave_agent_rp_valid), // .valid
- .rp_data (nios2_debug_mem_slave_agent_rp_data), // .data
- .rp_startofpacket (nios2_debug_mem_slave_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_002_src_ready), // cp.ready
- .cp_valid (cmd_mux_002_src_valid), // .valid
- .cp_data (cmd_mux_002_src_data), // .data
- .cp_startofpacket (cmd_mux_002_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_002_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_002_src_channel), // .channel
- .rf_sink_ready (nios2_debug_mem_slave_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (nios2_debug_mem_slave_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (nios2_debug_mem_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (nios2_debug_mem_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (nios2_debug_mem_slave_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (nios2_debug_mem_slave_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (nios2_debug_mem_slave_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (nios2_debug_mem_slave_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (nios2_debug_mem_slave_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (nios2_debug_mem_slave_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_002_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_002_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_002_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_002_out_0_error), // .error
- .rdata_fifo_src_ready (nios2_debug_mem_slave_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (nios2_debug_mem_slave_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (nios2_debug_mem_slave_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) nios2_debug_mem_slave_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (nios2_debug_mem_slave_agent_rf_source_data), // in.data
- .in_valid (nios2_debug_mem_slave_agent_rf_source_valid), // .valid
- .in_ready (nios2_debug_mem_slave_agent_rf_source_ready), // .ready
- .in_startofpacket (nios2_debug_mem_slave_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (nios2_debug_mem_slave_agent_rf_source_endofpacket), // .endofpacket
- .out_data (nios2_debug_mem_slave_agent_rsp_fifo_out_data), // out.data
- .out_valid (nios2_debug_mem_slave_agent_rsp_fifo_out_valid), // .valid
- .out_ready (nios2_debug_mem_slave_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (nios2_debug_mem_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (nios2_debug_mem_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) onchip_memory2_s1_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (onchip_memory2_s1_agent_m0_address), // m0.address
- .m0_burstcount (onchip_memory2_s1_agent_m0_burstcount), // .burstcount
- .m0_byteenable (onchip_memory2_s1_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (onchip_memory2_s1_agent_m0_debugaccess), // .debugaccess
- .m0_lock (onchip_memory2_s1_agent_m0_lock), // .lock
- .m0_readdata (onchip_memory2_s1_agent_m0_readdata), // .readdata
- .m0_readdatavalid (onchip_memory2_s1_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (onchip_memory2_s1_agent_m0_read), // .read
- .m0_waitrequest (onchip_memory2_s1_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (onchip_memory2_s1_agent_m0_writedata), // .writedata
- .m0_write (onchip_memory2_s1_agent_m0_write), // .write
- .rp_endofpacket (onchip_memory2_s1_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (onchip_memory2_s1_agent_rp_ready), // .ready
- .rp_valid (onchip_memory2_s1_agent_rp_valid), // .valid
- .rp_data (onchip_memory2_s1_agent_rp_data), // .data
- .rp_startofpacket (onchip_memory2_s1_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_003_src_ready), // cp.ready
- .cp_valid (cmd_mux_003_src_valid), // .valid
- .cp_data (cmd_mux_003_src_data), // .data
- .cp_startofpacket (cmd_mux_003_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_003_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_003_src_channel), // .channel
- .rf_sink_ready (onchip_memory2_s1_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (onchip_memory2_s1_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (onchip_memory2_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (onchip_memory2_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (onchip_memory2_s1_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (onchip_memory2_s1_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (onchip_memory2_s1_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (onchip_memory2_s1_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (onchip_memory2_s1_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (onchip_memory2_s1_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_003_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_003_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_003_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_003_out_0_error), // .error
- .rdata_fifo_src_ready (onchip_memory2_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (onchip_memory2_s1_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (onchip_memory2_s1_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) onchip_memory2_s1_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (onchip_memory2_s1_agent_rf_source_data), // in.data
- .in_valid (onchip_memory2_s1_agent_rf_source_valid), // .valid
- .in_ready (onchip_memory2_s1_agent_rf_source_ready), // .ready
- .in_startofpacket (onchip_memory2_s1_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (onchip_memory2_s1_agent_rf_source_endofpacket), // .endofpacket
- .out_data (onchip_memory2_s1_agent_rsp_fifo_out_data), // out.data
- .out_valid (onchip_memory2_s1_agent_rsp_fifo_out_valid), // .valid
- .out_ready (onchip_memory2_s1_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (onchip_memory2_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (onchip_memory2_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) pio_led_s1_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (pio_led_s1_agent_m0_address), // m0.address
- .m0_burstcount (pio_led_s1_agent_m0_burstcount), // .burstcount
- .m0_byteenable (pio_led_s1_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (pio_led_s1_agent_m0_debugaccess), // .debugaccess
- .m0_lock (pio_led_s1_agent_m0_lock), // .lock
- .m0_readdata (pio_led_s1_agent_m0_readdata), // .readdata
- .m0_readdatavalid (pio_led_s1_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (pio_led_s1_agent_m0_read), // .read
- .m0_waitrequest (pio_led_s1_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (pio_led_s1_agent_m0_writedata), // .writedata
- .m0_write (pio_led_s1_agent_m0_write), // .write
- .rp_endofpacket (pio_led_s1_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (pio_led_s1_agent_rp_ready), // .ready
- .rp_valid (pio_led_s1_agent_rp_valid), // .valid
- .rp_data (pio_led_s1_agent_rp_data), // .data
- .rp_startofpacket (pio_led_s1_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_004_src_ready), // cp.ready
- .cp_valid (cmd_mux_004_src_valid), // .valid
- .cp_data (cmd_mux_004_src_data), // .data
- .cp_startofpacket (cmd_mux_004_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_004_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_004_src_channel), // .channel
- .rf_sink_ready (pio_led_s1_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (pio_led_s1_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (pio_led_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (pio_led_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (pio_led_s1_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (pio_led_s1_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (pio_led_s1_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (pio_led_s1_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (pio_led_s1_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (pio_led_s1_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_004_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_004_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_004_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_004_out_0_error), // .error
- .rdata_fifo_src_ready (pio_led_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (pio_led_s1_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (pio_led_s1_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) pio_led_s1_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (pio_led_s1_agent_rf_source_data), // in.data
- .in_valid (pio_led_s1_agent_rf_source_valid), // .valid
- .in_ready (pio_led_s1_agent_rf_source_ready), // .ready
- .in_startofpacket (pio_led_s1_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (pio_led_s1_agent_rf_source_endofpacket), // .endofpacket
- .out_data (pio_led_s1_agent_rsp_fifo_out_data), // out.data
- .out_valid (pio_led_s1_agent_rsp_fifo_out_valid), // .valid
- .out_ready (pio_led_s1_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (pio_led_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (pio_led_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) pio_matrix_s1_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (pio_matrix_s1_agent_m0_address), // m0.address
- .m0_burstcount (pio_matrix_s1_agent_m0_burstcount), // .burstcount
- .m0_byteenable (pio_matrix_s1_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (pio_matrix_s1_agent_m0_debugaccess), // .debugaccess
- .m0_lock (pio_matrix_s1_agent_m0_lock), // .lock
- .m0_readdata (pio_matrix_s1_agent_m0_readdata), // .readdata
- .m0_readdatavalid (pio_matrix_s1_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (pio_matrix_s1_agent_m0_read), // .read
- .m0_waitrequest (pio_matrix_s1_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (pio_matrix_s1_agent_m0_writedata), // .writedata
- .m0_write (pio_matrix_s1_agent_m0_write), // .write
- .rp_endofpacket (pio_matrix_s1_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (pio_matrix_s1_agent_rp_ready), // .ready
- .rp_valid (pio_matrix_s1_agent_rp_valid), // .valid
- .rp_data (pio_matrix_s1_agent_rp_data), // .data
- .rp_startofpacket (pio_matrix_s1_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_005_src_ready), // cp.ready
- .cp_valid (cmd_mux_005_src_valid), // .valid
- .cp_data (cmd_mux_005_src_data), // .data
- .cp_startofpacket (cmd_mux_005_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_005_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_005_src_channel), // .channel
- .rf_sink_ready (pio_matrix_s1_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (pio_matrix_s1_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (pio_matrix_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (pio_matrix_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (pio_matrix_s1_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (pio_matrix_s1_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (pio_matrix_s1_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (pio_matrix_s1_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (pio_matrix_s1_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (pio_matrix_s1_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_005_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_005_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_005_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_005_out_0_error), // .error
- .rdata_fifo_src_ready (pio_matrix_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (pio_matrix_s1_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (pio_matrix_s1_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) pio_matrix_s1_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (pio_matrix_s1_agent_rf_source_data), // in.data
- .in_valid (pio_matrix_s1_agent_rf_source_valid), // .valid
- .in_ready (pio_matrix_s1_agent_rf_source_ready), // .ready
- .in_startofpacket (pio_matrix_s1_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (pio_matrix_s1_agent_rf_source_endofpacket), // .endofpacket
- .out_data (pio_matrix_s1_agent_rsp_fifo_out_data), // out.data
- .out_valid (pio_matrix_s1_agent_rsp_fifo_out_valid), // .valid
- .out_ready (pio_matrix_s1_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (pio_matrix_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (pio_matrix_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- altera_merlin_slave_agent #(
- .PKT_ORI_BURST_SIZE_H (95),
- .PKT_ORI_BURST_SIZE_L (93),
- .PKT_RESPONSE_STATUS_H (92),
- .PKT_RESPONSE_STATUS_L (91),
- .PKT_BURST_SIZE_H (70),
- .PKT_BURST_SIZE_L (68),
- .PKT_TRANS_LOCK (60),
- .PKT_BEGIN_BURST (75),
- .PKT_PROTECTION_H (86),
- .PKT_PROTECTION_L (84),
- .PKT_BURSTWRAP_H (67),
- .PKT_BURSTWRAP_L (65),
- .PKT_BYTE_CNT_H (64),
- .PKT_BYTE_CNT_L (62),
- .PKT_ADDR_H (55),
- .PKT_ADDR_L (36),
- .PKT_TRANS_COMPRESSED_READ (56),
- .PKT_TRANS_POSTED (57),
- .PKT_TRANS_WRITE (58),
- .PKT_TRANS_READ (59),
- .PKT_DATA_H (31),
- .PKT_DATA_L (0),
- .PKT_BYTEEN_H (35),
- .PKT_BYTEEN_L (32),
- .PKT_SRC_ID_H (79),
- .PKT_SRC_ID_L (77),
- .PKT_DEST_ID_H (82),
- .PKT_DEST_ID_L (80),
- .PKT_SYMBOL_W (8),
- .ST_CHANNEL_W (7),
- .ST_DATA_W (96),
- .AVS_BURSTCOUNT_W (3),
- .SUPPRESS_0_BYTEEN_CMD (0),
- .PREVENT_FIFO_OVERFLOW (1),
- .USE_READRESPONSE (0),
- .USE_WRITERESPONSE (0),
- .ECC_ENABLE (0)
- ) pio_button_s1_agent (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .m0_address (pio_button_s1_agent_m0_address), // m0.address
- .m0_burstcount (pio_button_s1_agent_m0_burstcount), // .burstcount
- .m0_byteenable (pio_button_s1_agent_m0_byteenable), // .byteenable
- .m0_debugaccess (pio_button_s1_agent_m0_debugaccess), // .debugaccess
- .m0_lock (pio_button_s1_agent_m0_lock), // .lock
- .m0_readdata (pio_button_s1_agent_m0_readdata), // .readdata
- .m0_readdatavalid (pio_button_s1_agent_m0_readdatavalid), // .readdatavalid
- .m0_read (pio_button_s1_agent_m0_read), // .read
- .m0_waitrequest (pio_button_s1_agent_m0_waitrequest), // .waitrequest
- .m0_writedata (pio_button_s1_agent_m0_writedata), // .writedata
- .m0_write (pio_button_s1_agent_m0_write), // .write
- .rp_endofpacket (pio_button_s1_agent_rp_endofpacket), // rp.endofpacket
- .rp_ready (pio_button_s1_agent_rp_ready), // .ready
- .rp_valid (pio_button_s1_agent_rp_valid), // .valid
- .rp_data (pio_button_s1_agent_rp_data), // .data
- .rp_startofpacket (pio_button_s1_agent_rp_startofpacket), // .startofpacket
- .cp_ready (cmd_mux_006_src_ready), // cp.ready
- .cp_valid (cmd_mux_006_src_valid), // .valid
- .cp_data (cmd_mux_006_src_data), // .data
- .cp_startofpacket (cmd_mux_006_src_startofpacket), // .startofpacket
- .cp_endofpacket (cmd_mux_006_src_endofpacket), // .endofpacket
- .cp_channel (cmd_mux_006_src_channel), // .channel
- .rf_sink_ready (pio_button_s1_agent_rsp_fifo_out_ready), // rf_sink.ready
- .rf_sink_valid (pio_button_s1_agent_rsp_fifo_out_valid), // .valid
- .rf_sink_startofpacket (pio_button_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .rf_sink_endofpacket (pio_button_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .rf_sink_data (pio_button_s1_agent_rsp_fifo_out_data), // .data
- .rf_source_ready (pio_button_s1_agent_rf_source_ready), // rf_source.ready
- .rf_source_valid (pio_button_s1_agent_rf_source_valid), // .valid
- .rf_source_startofpacket (pio_button_s1_agent_rf_source_startofpacket), // .startofpacket
- .rf_source_endofpacket (pio_button_s1_agent_rf_source_endofpacket), // .endofpacket
- .rf_source_data (pio_button_s1_agent_rf_source_data), // .data
- .rdata_fifo_sink_ready (avalon_st_adapter_006_out_0_ready), // rdata_fifo_sink.ready
- .rdata_fifo_sink_valid (avalon_st_adapter_006_out_0_valid), // .valid
- .rdata_fifo_sink_data (avalon_st_adapter_006_out_0_data), // .data
- .rdata_fifo_sink_error (avalon_st_adapter_006_out_0_error), // .error
- .rdata_fifo_src_ready (pio_button_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready
- .rdata_fifo_src_valid (pio_button_s1_agent_rdata_fifo_src_valid), // .valid
- .rdata_fifo_src_data (pio_button_s1_agent_rdata_fifo_src_data), // .data
- .m0_response (2'b00), // (terminated)
- .m0_writeresponsevalid (1'b0) // (terminated)
- );
- altera_avalon_sc_fifo #(
- .SYMBOLS_PER_BEAT (1),
- .BITS_PER_SYMBOL (97),
- .FIFO_DEPTH (2),
- .CHANNEL_WIDTH (0),
- .ERROR_WIDTH (0),
- .USE_PACKETS (1),
- .USE_FILL_LEVEL (0),
- .EMPTY_LATENCY (1),
- .USE_MEMORY_BLOCKS (0),
- .USE_STORE_FORWARD (0),
- .USE_ALMOST_FULL_IF (0),
- .USE_ALMOST_EMPTY_IF (0)
- ) pio_button_s1_agent_rsp_fifo (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .in_data (pio_button_s1_agent_rf_source_data), // in.data
- .in_valid (pio_button_s1_agent_rf_source_valid), // .valid
- .in_ready (pio_button_s1_agent_rf_source_ready), // .ready
- .in_startofpacket (pio_button_s1_agent_rf_source_startofpacket), // .startofpacket
- .in_endofpacket (pio_button_s1_agent_rf_source_endofpacket), // .endofpacket
- .out_data (pio_button_s1_agent_rsp_fifo_out_data), // out.data
- .out_valid (pio_button_s1_agent_rsp_fifo_out_valid), // .valid
- .out_ready (pio_button_s1_agent_rsp_fifo_out_ready), // .ready
- .out_startofpacket (pio_button_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket
- .out_endofpacket (pio_button_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket
- .csr_address (2'b00), // (terminated)
- .csr_read (1'b0), // (terminated)
- .csr_write (1'b0), // (terminated)
- .csr_readdata (), // (terminated)
- .csr_writedata (32'b00000000000000000000000000000000), // (terminated)
- .almost_full_data (), // (terminated)
- .almost_empty_data (), // (terminated)
- .in_empty (1'b0), // (terminated)
- .out_empty (), // (terminated)
- .in_error (1'b0), // (terminated)
- .out_error (), // (terminated)
- .in_channel (1'b0), // (terminated)
- .out_channel () // (terminated)
- );
- nios2_uc_mm_interconnect_0_router router (
- .sink_ready (nios2_data_master_agent_cp_ready), // sink.ready
- .sink_valid (nios2_data_master_agent_cp_valid), // .valid
- .sink_data (nios2_data_master_agent_cp_data), // .data
- .sink_startofpacket (nios2_data_master_agent_cp_startofpacket), // .startofpacket
- .sink_endofpacket (nios2_data_master_agent_cp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_src_ready), // src.ready
- .src_valid (router_src_valid), // .valid
- .src_data (router_src_data), // .data
- .src_channel (router_src_channel), // .channel
- .src_startofpacket (router_src_startofpacket), // .startofpacket
- .src_endofpacket (router_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router router_001 (
- .sink_ready (nios2_instruction_master_agent_cp_ready), // sink.ready
- .sink_valid (nios2_instruction_master_agent_cp_valid), // .valid
- .sink_data (nios2_instruction_master_agent_cp_data), // .data
- .sink_startofpacket (nios2_instruction_master_agent_cp_startofpacket), // .startofpacket
- .sink_endofpacket (nios2_instruction_master_agent_cp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_001_src_ready), // src.ready
- .src_valid (router_001_src_valid), // .valid
- .src_data (router_001_src_data), // .data
- .src_channel (router_001_src_channel), // .channel
- .src_startofpacket (router_001_src_startofpacket), // .startofpacket
- .src_endofpacket (router_001_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_002 (
- .sink_ready (jtag_uart_avalon_jtag_slave_agent_rp_ready), // sink.ready
- .sink_valid (jtag_uart_avalon_jtag_slave_agent_rp_valid), // .valid
- .sink_data (jtag_uart_avalon_jtag_slave_agent_rp_data), // .data
- .sink_startofpacket (jtag_uart_avalon_jtag_slave_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (jtag_uart_avalon_jtag_slave_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_002_src_ready), // src.ready
- .src_valid (router_002_src_valid), // .valid
- .src_data (router_002_src_data), // .data
- .src_channel (router_002_src_channel), // .channel
- .src_startofpacket (router_002_src_startofpacket), // .startofpacket
- .src_endofpacket (router_002_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_003 (
- .sink_ready (lcd_16207_control_slave_agent_rp_ready), // sink.ready
- .sink_valid (lcd_16207_control_slave_agent_rp_valid), // .valid
- .sink_data (lcd_16207_control_slave_agent_rp_data), // .data
- .sink_startofpacket (lcd_16207_control_slave_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (lcd_16207_control_slave_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_003_src_ready), // src.ready
- .src_valid (router_003_src_valid), // .valid
- .src_data (router_003_src_data), // .data
- .src_channel (router_003_src_channel), // .channel
- .src_startofpacket (router_003_src_startofpacket), // .startofpacket
- .src_endofpacket (router_003_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_004 (
- .sink_ready (nios2_debug_mem_slave_agent_rp_ready), // sink.ready
- .sink_valid (nios2_debug_mem_slave_agent_rp_valid), // .valid
- .sink_data (nios2_debug_mem_slave_agent_rp_data), // .data
- .sink_startofpacket (nios2_debug_mem_slave_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (nios2_debug_mem_slave_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_004_src_ready), // src.ready
- .src_valid (router_004_src_valid), // .valid
- .src_data (router_004_src_data), // .data
- .src_channel (router_004_src_channel), // .channel
- .src_startofpacket (router_004_src_startofpacket), // .startofpacket
- .src_endofpacket (router_004_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_005 (
- .sink_ready (onchip_memory2_s1_agent_rp_ready), // sink.ready
- .sink_valid (onchip_memory2_s1_agent_rp_valid), // .valid
- .sink_data (onchip_memory2_s1_agent_rp_data), // .data
- .sink_startofpacket (onchip_memory2_s1_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (onchip_memory2_s1_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_005_src_ready), // src.ready
- .src_valid (router_005_src_valid), // .valid
- .src_data (router_005_src_data), // .data
- .src_channel (router_005_src_channel), // .channel
- .src_startofpacket (router_005_src_startofpacket), // .startofpacket
- .src_endofpacket (router_005_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_006 (
- .sink_ready (pio_led_s1_agent_rp_ready), // sink.ready
- .sink_valid (pio_led_s1_agent_rp_valid), // .valid
- .sink_data (pio_led_s1_agent_rp_data), // .data
- .sink_startofpacket (pio_led_s1_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (pio_led_s1_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_006_src_ready), // src.ready
- .src_valid (router_006_src_valid), // .valid
- .src_data (router_006_src_data), // .data
- .src_channel (router_006_src_channel), // .channel
- .src_startofpacket (router_006_src_startofpacket), // .startofpacket
- .src_endofpacket (router_006_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_007 (
- .sink_ready (pio_matrix_s1_agent_rp_ready), // sink.ready
- .sink_valid (pio_matrix_s1_agent_rp_valid), // .valid
- .sink_data (pio_matrix_s1_agent_rp_data), // .data
- .sink_startofpacket (pio_matrix_s1_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (pio_matrix_s1_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_007_src_ready), // src.ready
- .src_valid (router_007_src_valid), // .valid
- .src_data (router_007_src_data), // .data
- .src_channel (router_007_src_channel), // .channel
- .src_startofpacket (router_007_src_startofpacket), // .startofpacket
- .src_endofpacket (router_007_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_router_002 router_008 (
- .sink_ready (pio_button_s1_agent_rp_ready), // sink.ready
- .sink_valid (pio_button_s1_agent_rp_valid), // .valid
- .sink_data (pio_button_s1_agent_rp_data), // .data
- .sink_startofpacket (pio_button_s1_agent_rp_startofpacket), // .startofpacket
- .sink_endofpacket (pio_button_s1_agent_rp_endofpacket), // .endofpacket
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (router_008_src_ready), // src.ready
- .src_valid (router_008_src_valid), // .valid
- .src_data (router_008_src_data), // .data
- .src_channel (router_008_src_channel), // .channel
- .src_startofpacket (router_008_src_startofpacket), // .startofpacket
- .src_endofpacket (router_008_src_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_demux cmd_demux (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_src_ready), // sink.ready
- .sink_channel (router_src_channel), // .channel
- .sink_data (router_src_data), // .data
- .sink_startofpacket (router_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_src_endofpacket), // .endofpacket
- .sink_valid (router_src_valid), // .valid
- .src0_ready (cmd_demux_src0_ready), // src0.ready
- .src0_valid (cmd_demux_src0_valid), // .valid
- .src0_data (cmd_demux_src0_data), // .data
- .src0_channel (cmd_demux_src0_channel), // .channel
- .src0_startofpacket (cmd_demux_src0_startofpacket), // .startofpacket
- .src0_endofpacket (cmd_demux_src0_endofpacket), // .endofpacket
- .src1_ready (cmd_demux_src1_ready), // src1.ready
- .src1_valid (cmd_demux_src1_valid), // .valid
- .src1_data (cmd_demux_src1_data), // .data
- .src1_channel (cmd_demux_src1_channel), // .channel
- .src1_startofpacket (cmd_demux_src1_startofpacket), // .startofpacket
- .src1_endofpacket (cmd_demux_src1_endofpacket), // .endofpacket
- .src2_ready (cmd_demux_src2_ready), // src2.ready
- .src2_valid (cmd_demux_src2_valid), // .valid
- .src2_data (cmd_demux_src2_data), // .data
- .src2_channel (cmd_demux_src2_channel), // .channel
- .src2_startofpacket (cmd_demux_src2_startofpacket), // .startofpacket
- .src2_endofpacket (cmd_demux_src2_endofpacket), // .endofpacket
- .src3_ready (cmd_demux_src3_ready), // src3.ready
- .src3_valid (cmd_demux_src3_valid), // .valid
- .src3_data (cmd_demux_src3_data), // .data
- .src3_channel (cmd_demux_src3_channel), // .channel
- .src3_startofpacket (cmd_demux_src3_startofpacket), // .startofpacket
- .src3_endofpacket (cmd_demux_src3_endofpacket), // .endofpacket
- .src4_ready (cmd_demux_src4_ready), // src4.ready
- .src4_valid (cmd_demux_src4_valid), // .valid
- .src4_data (cmd_demux_src4_data), // .data
- .src4_channel (cmd_demux_src4_channel), // .channel
- .src4_startofpacket (cmd_demux_src4_startofpacket), // .startofpacket
- .src4_endofpacket (cmd_demux_src4_endofpacket), // .endofpacket
- .src5_ready (cmd_demux_src5_ready), // src5.ready
- .src5_valid (cmd_demux_src5_valid), // .valid
- .src5_data (cmd_demux_src5_data), // .data
- .src5_channel (cmd_demux_src5_channel), // .channel
- .src5_startofpacket (cmd_demux_src5_startofpacket), // .startofpacket
- .src5_endofpacket (cmd_demux_src5_endofpacket), // .endofpacket
- .src6_ready (cmd_demux_src6_ready), // src6.ready
- .src6_valid (cmd_demux_src6_valid), // .valid
- .src6_data (cmd_demux_src6_data), // .data
- .src6_channel (cmd_demux_src6_channel), // .channel
- .src6_startofpacket (cmd_demux_src6_startofpacket), // .startofpacket
- .src6_endofpacket (cmd_demux_src6_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_demux cmd_demux_001 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_001_src_ready), // sink.ready
- .sink_channel (router_001_src_channel), // .channel
- .sink_data (router_001_src_data), // .data
- .sink_startofpacket (router_001_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_001_src_endofpacket), // .endofpacket
- .sink_valid (router_001_src_valid), // .valid
- .src0_ready (cmd_demux_001_src0_ready), // src0.ready
- .src0_valid (cmd_demux_001_src0_valid), // .valid
- .src0_data (cmd_demux_001_src0_data), // .data
- .src0_channel (cmd_demux_001_src0_channel), // .channel
- .src0_startofpacket (cmd_demux_001_src0_startofpacket), // .startofpacket
- .src0_endofpacket (cmd_demux_001_src0_endofpacket), // .endofpacket
- .src1_ready (cmd_demux_001_src1_ready), // src1.ready
- .src1_valid (cmd_demux_001_src1_valid), // .valid
- .src1_data (cmd_demux_001_src1_data), // .data
- .src1_channel (cmd_demux_001_src1_channel), // .channel
- .src1_startofpacket (cmd_demux_001_src1_startofpacket), // .startofpacket
- .src1_endofpacket (cmd_demux_001_src1_endofpacket), // .endofpacket
- .src2_ready (cmd_demux_001_src2_ready), // src2.ready
- .src2_valid (cmd_demux_001_src2_valid), // .valid
- .src2_data (cmd_demux_001_src2_data), // .data
- .src2_channel (cmd_demux_001_src2_channel), // .channel
- .src2_startofpacket (cmd_demux_001_src2_startofpacket), // .startofpacket
- .src2_endofpacket (cmd_demux_001_src2_endofpacket), // .endofpacket
- .src3_ready (cmd_demux_001_src3_ready), // src3.ready
- .src3_valid (cmd_demux_001_src3_valid), // .valid
- .src3_data (cmd_demux_001_src3_data), // .data
- .src3_channel (cmd_demux_001_src3_channel), // .channel
- .src3_startofpacket (cmd_demux_001_src3_startofpacket), // .startofpacket
- .src3_endofpacket (cmd_demux_001_src3_endofpacket), // .endofpacket
- .src4_ready (cmd_demux_001_src4_ready), // src4.ready
- .src4_valid (cmd_demux_001_src4_valid), // .valid
- .src4_data (cmd_demux_001_src4_data), // .data
- .src4_channel (cmd_demux_001_src4_channel), // .channel
- .src4_startofpacket (cmd_demux_001_src4_startofpacket), // .startofpacket
- .src4_endofpacket (cmd_demux_001_src4_endofpacket), // .endofpacket
- .src5_ready (cmd_demux_001_src5_ready), // src5.ready
- .src5_valid (cmd_demux_001_src5_valid), // .valid
- .src5_data (cmd_demux_001_src5_data), // .data
- .src5_channel (cmd_demux_001_src5_channel), // .channel
- .src5_startofpacket (cmd_demux_001_src5_startofpacket), // .startofpacket
- .src5_endofpacket (cmd_demux_001_src5_endofpacket), // .endofpacket
- .src6_ready (cmd_demux_001_src6_ready), // src6.ready
- .src6_valid (cmd_demux_001_src6_valid), // .valid
- .src6_data (cmd_demux_001_src6_data), // .data
- .src6_channel (cmd_demux_001_src6_channel), // .channel
- .src6_startofpacket (cmd_demux_001_src6_startofpacket), // .startofpacket
- .src6_endofpacket (cmd_demux_001_src6_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_src_ready), // src.ready
- .src_valid (cmd_mux_src_valid), // .valid
- .src_data (cmd_mux_src_data), // .data
- .src_channel (cmd_mux_src_channel), // .channel
- .src_startofpacket (cmd_mux_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src0_ready), // sink0.ready
- .sink0_valid (cmd_demux_src0_valid), // .valid
- .sink0_channel (cmd_demux_src0_channel), // .channel
- .sink0_data (cmd_demux_src0_data), // .data
- .sink0_startofpacket (cmd_demux_src0_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src0_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src0_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src0_valid), // .valid
- .sink1_channel (cmd_demux_001_src0_channel), // .channel
- .sink1_data (cmd_demux_001_src0_data), // .data
- .sink1_startofpacket (cmd_demux_001_src0_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src0_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux_001 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_001_src_ready), // src.ready
- .src_valid (cmd_mux_001_src_valid), // .valid
- .src_data (cmd_mux_001_src_data), // .data
- .src_channel (cmd_mux_001_src_channel), // .channel
- .src_startofpacket (cmd_mux_001_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_001_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src1_ready), // sink0.ready
- .sink0_valid (cmd_demux_src1_valid), // .valid
- .sink0_channel (cmd_demux_src1_channel), // .channel
- .sink0_data (cmd_demux_src1_data), // .data
- .sink0_startofpacket (cmd_demux_src1_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src1_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src1_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src1_valid), // .valid
- .sink1_channel (cmd_demux_001_src1_channel), // .channel
- .sink1_data (cmd_demux_001_src1_data), // .data
- .sink1_startofpacket (cmd_demux_001_src1_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux_002 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_002_src_ready), // src.ready
- .src_valid (cmd_mux_002_src_valid), // .valid
- .src_data (cmd_mux_002_src_data), // .data
- .src_channel (cmd_mux_002_src_channel), // .channel
- .src_startofpacket (cmd_mux_002_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_002_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src2_ready), // sink0.ready
- .sink0_valid (cmd_demux_src2_valid), // .valid
- .sink0_channel (cmd_demux_src2_channel), // .channel
- .sink0_data (cmd_demux_src2_data), // .data
- .sink0_startofpacket (cmd_demux_src2_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src2_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src2_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src2_valid), // .valid
- .sink1_channel (cmd_demux_001_src2_channel), // .channel
- .sink1_data (cmd_demux_001_src2_data), // .data
- .sink1_startofpacket (cmd_demux_001_src2_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src2_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux_003 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_003_src_ready), // src.ready
- .src_valid (cmd_mux_003_src_valid), // .valid
- .src_data (cmd_mux_003_src_data), // .data
- .src_channel (cmd_mux_003_src_channel), // .channel
- .src_startofpacket (cmd_mux_003_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_003_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src3_ready), // sink0.ready
- .sink0_valid (cmd_demux_src3_valid), // .valid
- .sink0_channel (cmd_demux_src3_channel), // .channel
- .sink0_data (cmd_demux_src3_data), // .data
- .sink0_startofpacket (cmd_demux_src3_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src3_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src3_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src3_valid), // .valid
- .sink1_channel (cmd_demux_001_src3_channel), // .channel
- .sink1_data (cmd_demux_001_src3_data), // .data
- .sink1_startofpacket (cmd_demux_001_src3_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src3_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux_004 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_004_src_ready), // src.ready
- .src_valid (cmd_mux_004_src_valid), // .valid
- .src_data (cmd_mux_004_src_data), // .data
- .src_channel (cmd_mux_004_src_channel), // .channel
- .src_startofpacket (cmd_mux_004_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_004_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src4_ready), // sink0.ready
- .sink0_valid (cmd_demux_src4_valid), // .valid
- .sink0_channel (cmd_demux_src4_channel), // .channel
- .sink0_data (cmd_demux_src4_data), // .data
- .sink0_startofpacket (cmd_demux_src4_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src4_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src4_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src4_valid), // .valid
- .sink1_channel (cmd_demux_001_src4_channel), // .channel
- .sink1_data (cmd_demux_001_src4_data), // .data
- .sink1_startofpacket (cmd_demux_001_src4_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src4_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux_005 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_005_src_ready), // src.ready
- .src_valid (cmd_mux_005_src_valid), // .valid
- .src_data (cmd_mux_005_src_data), // .data
- .src_channel (cmd_mux_005_src_channel), // .channel
- .src_startofpacket (cmd_mux_005_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_005_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src5_ready), // sink0.ready
- .sink0_valid (cmd_demux_src5_valid), // .valid
- .sink0_channel (cmd_demux_src5_channel), // .channel
- .sink0_data (cmd_demux_src5_data), // .data
- .sink0_startofpacket (cmd_demux_src5_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src5_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src5_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src5_valid), // .valid
- .sink1_channel (cmd_demux_001_src5_channel), // .channel
- .sink1_data (cmd_demux_001_src5_data), // .data
- .sink1_startofpacket (cmd_demux_001_src5_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src5_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_cmd_mux cmd_mux_006 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (cmd_mux_006_src_ready), // src.ready
- .src_valid (cmd_mux_006_src_valid), // .valid
- .src_data (cmd_mux_006_src_data), // .data
- .src_channel (cmd_mux_006_src_channel), // .channel
- .src_startofpacket (cmd_mux_006_src_startofpacket), // .startofpacket
- .src_endofpacket (cmd_mux_006_src_endofpacket), // .endofpacket
- .sink0_ready (cmd_demux_src6_ready), // sink0.ready
- .sink0_valid (cmd_demux_src6_valid), // .valid
- .sink0_channel (cmd_demux_src6_channel), // .channel
- .sink0_data (cmd_demux_src6_data), // .data
- .sink0_startofpacket (cmd_demux_src6_startofpacket), // .startofpacket
- .sink0_endofpacket (cmd_demux_src6_endofpacket), // .endofpacket
- .sink1_ready (cmd_demux_001_src6_ready), // sink1.ready
- .sink1_valid (cmd_demux_001_src6_valid), // .valid
- .sink1_channel (cmd_demux_001_src6_channel), // .channel
- .sink1_data (cmd_demux_001_src6_data), // .data
- .sink1_startofpacket (cmd_demux_001_src6_startofpacket), // .startofpacket
- .sink1_endofpacket (cmd_demux_001_src6_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_002_src_ready), // sink.ready
- .sink_channel (router_002_src_channel), // .channel
- .sink_data (router_002_src_data), // .data
- .sink_startofpacket (router_002_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_002_src_endofpacket), // .endofpacket
- .sink_valid (router_002_src_valid), // .valid
- .src0_ready (rsp_demux_src0_ready), // src0.ready
- .src0_valid (rsp_demux_src0_valid), // .valid
- .src0_data (rsp_demux_src0_data), // .data
- .src0_channel (rsp_demux_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_src1_ready), // src1.ready
- .src1_valid (rsp_demux_src1_valid), // .valid
- .src1_data (rsp_demux_src1_data), // .data
- .src1_channel (rsp_demux_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux_001 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_003_src_ready), // sink.ready
- .sink_channel (router_003_src_channel), // .channel
- .sink_data (router_003_src_data), // .data
- .sink_startofpacket (router_003_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_003_src_endofpacket), // .endofpacket
- .sink_valid (router_003_src_valid), // .valid
- .src0_ready (rsp_demux_001_src0_ready), // src0.ready
- .src0_valid (rsp_demux_001_src0_valid), // .valid
- .src0_data (rsp_demux_001_src0_data), // .data
- .src0_channel (rsp_demux_001_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_001_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_001_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_001_src1_ready), // src1.ready
- .src1_valid (rsp_demux_001_src1_valid), // .valid
- .src1_data (rsp_demux_001_src1_data), // .data
- .src1_channel (rsp_demux_001_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_001_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_001_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux_002 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_004_src_ready), // sink.ready
- .sink_channel (router_004_src_channel), // .channel
- .sink_data (router_004_src_data), // .data
- .sink_startofpacket (router_004_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_004_src_endofpacket), // .endofpacket
- .sink_valid (router_004_src_valid), // .valid
- .src0_ready (rsp_demux_002_src0_ready), // src0.ready
- .src0_valid (rsp_demux_002_src0_valid), // .valid
- .src0_data (rsp_demux_002_src0_data), // .data
- .src0_channel (rsp_demux_002_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_002_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_002_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_002_src1_ready), // src1.ready
- .src1_valid (rsp_demux_002_src1_valid), // .valid
- .src1_data (rsp_demux_002_src1_data), // .data
- .src1_channel (rsp_demux_002_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_002_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_002_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux_003 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_005_src_ready), // sink.ready
- .sink_channel (router_005_src_channel), // .channel
- .sink_data (router_005_src_data), // .data
- .sink_startofpacket (router_005_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_005_src_endofpacket), // .endofpacket
- .sink_valid (router_005_src_valid), // .valid
- .src0_ready (rsp_demux_003_src0_ready), // src0.ready
- .src0_valid (rsp_demux_003_src0_valid), // .valid
- .src0_data (rsp_demux_003_src0_data), // .data
- .src0_channel (rsp_demux_003_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_003_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_003_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_003_src1_ready), // src1.ready
- .src1_valid (rsp_demux_003_src1_valid), // .valid
- .src1_data (rsp_demux_003_src1_data), // .data
- .src1_channel (rsp_demux_003_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_003_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_003_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux_004 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_006_src_ready), // sink.ready
- .sink_channel (router_006_src_channel), // .channel
- .sink_data (router_006_src_data), // .data
- .sink_startofpacket (router_006_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_006_src_endofpacket), // .endofpacket
- .sink_valid (router_006_src_valid), // .valid
- .src0_ready (rsp_demux_004_src0_ready), // src0.ready
- .src0_valid (rsp_demux_004_src0_valid), // .valid
- .src0_data (rsp_demux_004_src0_data), // .data
- .src0_channel (rsp_demux_004_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_004_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_004_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_004_src1_ready), // src1.ready
- .src1_valid (rsp_demux_004_src1_valid), // .valid
- .src1_data (rsp_demux_004_src1_data), // .data
- .src1_channel (rsp_demux_004_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_004_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_004_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux_005 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_007_src_ready), // sink.ready
- .sink_channel (router_007_src_channel), // .channel
- .sink_data (router_007_src_data), // .data
- .sink_startofpacket (router_007_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_007_src_endofpacket), // .endofpacket
- .sink_valid (router_007_src_valid), // .valid
- .src0_ready (rsp_demux_005_src0_ready), // src0.ready
- .src0_valid (rsp_demux_005_src0_valid), // .valid
- .src0_data (rsp_demux_005_src0_data), // .data
- .src0_channel (rsp_demux_005_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_005_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_005_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_005_src1_ready), // src1.ready
- .src1_valid (rsp_demux_005_src1_valid), // .valid
- .src1_data (rsp_demux_005_src1_data), // .data
- .src1_channel (rsp_demux_005_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_005_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_005_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_demux rsp_demux_006 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .sink_ready (router_008_src_ready), // sink.ready
- .sink_channel (router_008_src_channel), // .channel
- .sink_data (router_008_src_data), // .data
- .sink_startofpacket (router_008_src_startofpacket), // .startofpacket
- .sink_endofpacket (router_008_src_endofpacket), // .endofpacket
- .sink_valid (router_008_src_valid), // .valid
- .src0_ready (rsp_demux_006_src0_ready), // src0.ready
- .src0_valid (rsp_demux_006_src0_valid), // .valid
- .src0_data (rsp_demux_006_src0_data), // .data
- .src0_channel (rsp_demux_006_src0_channel), // .channel
- .src0_startofpacket (rsp_demux_006_src0_startofpacket), // .startofpacket
- .src0_endofpacket (rsp_demux_006_src0_endofpacket), // .endofpacket
- .src1_ready (rsp_demux_006_src1_ready), // src1.ready
- .src1_valid (rsp_demux_006_src1_valid), // .valid
- .src1_data (rsp_demux_006_src1_data), // .data
- .src1_channel (rsp_demux_006_src1_channel), // .channel
- .src1_startofpacket (rsp_demux_006_src1_startofpacket), // .startofpacket
- .src1_endofpacket (rsp_demux_006_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_mux rsp_mux (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (rsp_mux_src_ready), // src.ready
- .src_valid (rsp_mux_src_valid), // .valid
- .src_data (rsp_mux_src_data), // .data
- .src_channel (rsp_mux_src_channel), // .channel
- .src_startofpacket (rsp_mux_src_startofpacket), // .startofpacket
- .src_endofpacket (rsp_mux_src_endofpacket), // .endofpacket
- .sink0_ready (rsp_demux_src0_ready), // sink0.ready
- .sink0_valid (rsp_demux_src0_valid), // .valid
- .sink0_channel (rsp_demux_src0_channel), // .channel
- .sink0_data (rsp_demux_src0_data), // .data
- .sink0_startofpacket (rsp_demux_src0_startofpacket), // .startofpacket
- .sink0_endofpacket (rsp_demux_src0_endofpacket), // .endofpacket
- .sink1_ready (rsp_demux_001_src0_ready), // sink1.ready
- .sink1_valid (rsp_demux_001_src0_valid), // .valid
- .sink1_channel (rsp_demux_001_src0_channel), // .channel
- .sink1_data (rsp_demux_001_src0_data), // .data
- .sink1_startofpacket (rsp_demux_001_src0_startofpacket), // .startofpacket
- .sink1_endofpacket (rsp_demux_001_src0_endofpacket), // .endofpacket
- .sink2_ready (rsp_demux_002_src0_ready), // sink2.ready
- .sink2_valid (rsp_demux_002_src0_valid), // .valid
- .sink2_channel (rsp_demux_002_src0_channel), // .channel
- .sink2_data (rsp_demux_002_src0_data), // .data
- .sink2_startofpacket (rsp_demux_002_src0_startofpacket), // .startofpacket
- .sink2_endofpacket (rsp_demux_002_src0_endofpacket), // .endofpacket
- .sink3_ready (rsp_demux_003_src0_ready), // sink3.ready
- .sink3_valid (rsp_demux_003_src0_valid), // .valid
- .sink3_channel (rsp_demux_003_src0_channel), // .channel
- .sink3_data (rsp_demux_003_src0_data), // .data
- .sink3_startofpacket (rsp_demux_003_src0_startofpacket), // .startofpacket
- .sink3_endofpacket (rsp_demux_003_src0_endofpacket), // .endofpacket
- .sink4_ready (rsp_demux_004_src0_ready), // sink4.ready
- .sink4_valid (rsp_demux_004_src0_valid), // .valid
- .sink4_channel (rsp_demux_004_src0_channel), // .channel
- .sink4_data (rsp_demux_004_src0_data), // .data
- .sink4_startofpacket (rsp_demux_004_src0_startofpacket), // .startofpacket
- .sink4_endofpacket (rsp_demux_004_src0_endofpacket), // .endofpacket
- .sink5_ready (rsp_demux_005_src0_ready), // sink5.ready
- .sink5_valid (rsp_demux_005_src0_valid), // .valid
- .sink5_channel (rsp_demux_005_src0_channel), // .channel
- .sink5_data (rsp_demux_005_src0_data), // .data
- .sink5_startofpacket (rsp_demux_005_src0_startofpacket), // .startofpacket
- .sink5_endofpacket (rsp_demux_005_src0_endofpacket), // .endofpacket
- .sink6_ready (rsp_demux_006_src0_ready), // sink6.ready
- .sink6_valid (rsp_demux_006_src0_valid), // .valid
- .sink6_channel (rsp_demux_006_src0_channel), // .channel
- .sink6_data (rsp_demux_006_src0_data), // .data
- .sink6_startofpacket (rsp_demux_006_src0_startofpacket), // .startofpacket
- .sink6_endofpacket (rsp_demux_006_src0_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_rsp_mux rsp_mux_001 (
- .clk (clk_50_clk_clk), // clk.clk
- .reset (nios2_reset_reset_bridge_in_reset_reset), // clk_reset.reset
- .src_ready (rsp_mux_001_src_ready), // src.ready
- .src_valid (rsp_mux_001_src_valid), // .valid
- .src_data (rsp_mux_001_src_data), // .data
- .src_channel (rsp_mux_001_src_channel), // .channel
- .src_startofpacket (rsp_mux_001_src_startofpacket), // .startofpacket
- .src_endofpacket (rsp_mux_001_src_endofpacket), // .endofpacket
- .sink0_ready (rsp_demux_src1_ready), // sink0.ready
- .sink0_valid (rsp_demux_src1_valid), // .valid
- .sink0_channel (rsp_demux_src1_channel), // .channel
- .sink0_data (rsp_demux_src1_data), // .data
- .sink0_startofpacket (rsp_demux_src1_startofpacket), // .startofpacket
- .sink0_endofpacket (rsp_demux_src1_endofpacket), // .endofpacket
- .sink1_ready (rsp_demux_001_src1_ready), // sink1.ready
- .sink1_valid (rsp_demux_001_src1_valid), // .valid
- .sink1_channel (rsp_demux_001_src1_channel), // .channel
- .sink1_data (rsp_demux_001_src1_data), // .data
- .sink1_startofpacket (rsp_demux_001_src1_startofpacket), // .startofpacket
- .sink1_endofpacket (rsp_demux_001_src1_endofpacket), // .endofpacket
- .sink2_ready (rsp_demux_002_src1_ready), // sink2.ready
- .sink2_valid (rsp_demux_002_src1_valid), // .valid
- .sink2_channel (rsp_demux_002_src1_channel), // .channel
- .sink2_data (rsp_demux_002_src1_data), // .data
- .sink2_startofpacket (rsp_demux_002_src1_startofpacket), // .startofpacket
- .sink2_endofpacket (rsp_demux_002_src1_endofpacket), // .endofpacket
- .sink3_ready (rsp_demux_003_src1_ready), // sink3.ready
- .sink3_valid (rsp_demux_003_src1_valid), // .valid
- .sink3_channel (rsp_demux_003_src1_channel), // .channel
- .sink3_data (rsp_demux_003_src1_data), // .data
- .sink3_startofpacket (rsp_demux_003_src1_startofpacket), // .startofpacket
- .sink3_endofpacket (rsp_demux_003_src1_endofpacket), // .endofpacket
- .sink4_ready (rsp_demux_004_src1_ready), // sink4.ready
- .sink4_valid (rsp_demux_004_src1_valid), // .valid
- .sink4_channel (rsp_demux_004_src1_channel), // .channel
- .sink4_data (rsp_demux_004_src1_data), // .data
- .sink4_startofpacket (rsp_demux_004_src1_startofpacket), // .startofpacket
- .sink4_endofpacket (rsp_demux_004_src1_endofpacket), // .endofpacket
- .sink5_ready (rsp_demux_005_src1_ready), // sink5.ready
- .sink5_valid (rsp_demux_005_src1_valid), // .valid
- .sink5_channel (rsp_demux_005_src1_channel), // .channel
- .sink5_data (rsp_demux_005_src1_data), // .data
- .sink5_startofpacket (rsp_demux_005_src1_startofpacket), // .startofpacket
- .sink5_endofpacket (rsp_demux_005_src1_endofpacket), // .endofpacket
- .sink6_ready (rsp_demux_006_src1_ready), // sink6.ready
- .sink6_valid (rsp_demux_006_src1_valid), // .valid
- .sink6_channel (rsp_demux_006_src1_channel), // .channel
- .sink6_data (rsp_demux_006_src1_data), // .data
- .sink6_startofpacket (rsp_demux_006_src1_startofpacket), // .startofpacket
- .sink6_endofpacket (rsp_demux_006_src1_endofpacket) // .endofpacket
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_out_0_error) // .error
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter_001 (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (lcd_16207_control_slave_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (lcd_16207_control_slave_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (lcd_16207_control_slave_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_001_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_001_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_001_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_001_out_0_error) // .error
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter_002 (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (nios2_debug_mem_slave_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (nios2_debug_mem_slave_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (nios2_debug_mem_slave_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_002_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_002_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_002_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_002_out_0_error) // .error
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter_003 (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (onchip_memory2_s1_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (onchip_memory2_s1_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (onchip_memory2_s1_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_003_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_003_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_003_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_003_out_0_error) // .error
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter_004 (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (pio_led_s1_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (pio_led_s1_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (pio_led_s1_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_004_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_004_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_004_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_004_out_0_error) // .error
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter_005 (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (pio_matrix_s1_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (pio_matrix_s1_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (pio_matrix_s1_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_005_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_005_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_005_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_005_out_0_error) // .error
- );
- nios2_uc_mm_interconnect_0_avalon_st_adapter #(
- .inBitsPerSymbol (34),
- .inUsePackets (0),
- .inDataWidth (34),
- .inChannelWidth (0),
- .inErrorWidth (0),
- .inUseEmptyPort (0),
- .inUseValid (1),
- .inUseReady (1),
- .inReadyLatency (0),
- .outDataWidth (34),
- .outChannelWidth (0),
- .outErrorWidth (1),
- .outUseEmptyPort (0),
- .outUseValid (1),
- .outUseReady (1),
- .outReadyLatency (0)
- ) avalon_st_adapter_006 (
- .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk
- .in_rst_0_reset (nios2_reset_reset_bridge_in_reset_reset), // in_rst_0.reset
- .in_0_data (pio_button_s1_agent_rdata_fifo_src_data), // in_0.data
- .in_0_valid (pio_button_s1_agent_rdata_fifo_src_valid), // .valid
- .in_0_ready (pio_button_s1_agent_rdata_fifo_src_ready), // .ready
- .out_0_data (avalon_st_adapter_006_out_0_data), // out_0.data
- .out_0_valid (avalon_st_adapter_006_out_0_valid), // .valid
- .out_0_ready (avalon_st_adapter_006_out_0_ready), // .ready
- .out_0_error (avalon_st_adapter_006_out_0_error) // .error
- );
- endmodule
|