nios2_uc_nios2_cpu.v 182 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796279727982799280028012802280328042805280628072808280928102811281228132814281528162817281828192820282128222823282428252826282728282829283028312832283328342835283628372838283928402841284228432844284528462847284828492850285128522853285428552856285728582859286028612862286328642865286628672868286928702871287228732874287528762877287828792880288128822883288428852886288728882889289028912892289328942895289628972898289929002901290229032904290529062907290829092910291129122913291429152916291729182919292029212922292329242925292629272928292929302931293229332934293529362937293829392940294129422943294429452946294729482949295029512952295329542955295629572958295929602961296229632964296529662967296829692970297129722973297429752976297729782979298029812982298329842985298629872988298929902991299229932994299529962997299829993000300130023003300430053006300730083009301030113012301330143015301630173018301930203021302230233024302530263027302830293030303130323033303430353036303730383039304030413042304330443045304630473048304930503051305230533054305530563057305830593060306130623063306430653066306730683069307030713072307330743075307630773078307930803081308230833084308530863087308830893090309130923093309430953096309730983099310031013102310331043105310631073108310931103111311231133114311531163117311831193120312131223123312431253126312731283129313031313132313331343135313631373138313931403141314231433144314531463147314831493150315131523153315431553156315731583159316031613162316331643165316631673168316931703171317231733174317531763177317831793180318131823183318431853186318731883189319031913192319331943195319631973198319932003201320232033204320532063207320832093210321132123213321432153216321732183219322032213222322332243225322632273228322932303231323232333234323532363237323832393240324132423243324432453246324732483249325032513252325332543255325632573258325932603261326232633264326532663267326832693270327132723273327432753276327732783279328032813282328332843285328632873288328932903291329232933294329532963297329832993300330133023303330433053306330733083309331033113312331333143315331633173318331933203321332233233324332533263327332833293330333133323333333433353336333733383339334033413342334333443345334633473348334933503351335233533354335533563357335833593360336133623363336433653366336733683369337033713372337333743375337633773378337933803381338233833384338533863387338833893390339133923393339433953396339733983399340034013402340334043405340634073408340934103411341234133414341534163417341834193420342134223423342434253426342734283429343034313432343334343435343634373438343934403441344234433444344534463447344834493450345134523453345434553456345734583459346034613462346334643465346634673468346934703471347234733474347534763477347834793480348134823483348434853486348734883489349034913492349334943495349634973498349935003501350235033504350535063507350835093510351135123513351435153516351735183519352035213522352335243525352635273528352935303531353235333534353535363537353835393540354135423543354435453546354735483549355035513552355335543555355635573558355935603561356235633564356535663567356835693570357135723573357435753576357735783579358035813582358335843585358635873588358935903591359235933594359535963597359835993600360136023603360436053606360736083609361036113612361336143615361636173618361936203621362236233624362536263627362836293630363136323633363436353636363736383639364036413642364336443645364636473648364936503651365236533654365536563657365836593660366136623663366436653666366736683669367036713672367336743675367636773678367936803681368236833684368536863687368836893690369136923693369436953696369736983699370037013702370337043705370637073708370937103711371237133714371537163717371837193720372137223723372437253726372737283729373037313732373337343735373637373738373937403741374237433744374537463747374837493750375137523753375437553756375737583759376037613762376337643765376637673768376937703771377237733774377537763777377837793780378137823783378437853786378737883789379037913792379337943795379637973798379938003801380238033804380538063807380838093810381138123813381438153816381738183819382038213822382338243825382638273828382938303831383238333834383538363837383838393840384138423843384438453846384738483849385038513852385338543855385638573858385938603861386238633864386538663867386838693870387138723873387438753876387738783879388038813882388338843885388638873888388938903891389238933894389538963897389838993900390139023903390439053906390739083909391039113912391339143915391639173918391939203921392239233924392539263927392839293930393139323933393439353936393739383939394039413942394339443945394639473948394939503951395239533954395539563957395839593960396139623963396439653966396739683969397039713972397339743975397639773978397939803981398239833984398539863987398839893990399139923993399439953996399739983999400040014002400340044005400640074008400940104011401240134014401540164017401840194020402140224023402440254026402740284029403040314032403340344035403640374038403940404041404240434044404540464047404840494050405140524053405440554056405740584059406040614062406340644065406640674068406940704071407240734074407540764077407840794080408140824083408440854086408740884089409040914092409340944095409640974098409941004101410241034104410541064107410841094110411141124113411441154116411741184119412041214122412341244125412641274128412941304131413241334134413541364137413841394140414141424143414441454146414741484149415041514152415341544155415641574158415941604161416241634164416541664167416841694170417141724173417441754176417741784179418041814182418341844185418641874188418941904191419241934194419541964197419841994200420142024203420442054206420742084209421042114212421342144215421642174218421942204221422242234224422542264227422842294230423142324233423442354236423742384239424042414242424342444245424642474248424942504251425242534254425542564257425842594260426142624263426442654266426742684269427042714272427342744275427642774278427942804281428242834284428542864287428842894290429142924293429442954296429742984299430043014302430343044305430643074308430943104311431243134314431543164317431843194320432143224323432443254326432743284329433043314332433343344335433643374338433943404341434243434344434543464347434843494350435143524353435443554356435743584359436043614362436343644365436643674368436943704371437243734374437543764377437843794380438143824383438443854386438743884389439043914392439343944395439643974398439944004401440244034404440544064407440844094410441144124413441444154416441744184419442044214422442344244425442644274428442944304431443244334434443544364437443844394440444144424443444444454446444744484449445044514452445344544455445644574458445944604461446244634464446544664467446844694470447144724473447444754476447744784479448044814482448344844485448644874488448944904491449244934494449544964497449844994500450145024503450445054506450745084509451045114512451345144515451645174518451945204521452245234524452545264527452845294530453145324533453445354536453745384539454045414542454345444545454645474548454945504551455245534554455545564557455845594560456145624563456445654566456745684569457045714572457345744575457645774578457945804581458245834584458545864587458845894590459145924593459445954596459745984599460046014602460346044605460646074608460946104611461246134614461546164617461846194620462146224623462446254626462746284629463046314632463346344635463646374638463946404641464246434644464546464647464846494650465146524653465446554656465746584659466046614662466346644665466646674668466946704671467246734674467546764677467846794680468146824683468446854686468746884689469046914692469346944695469646974698469947004701470247034704470547064707470847094710471147124713471447154716471747184719472047214722472347244725472647274728472947304731473247334734473547364737473847394740474147424743474447454746474747484749475047514752475347544755475647574758475947604761476247634764476547664767476847694770477147724773477447754776477747784779478047814782478347844785478647874788478947904791479247934794479547964797479847994800480148024803480448054806480748084809481048114812481348144815481648174818481948204821482248234824482548264827482848294830483148324833483448354836483748384839484048414842484348444845484648474848484948504851485248534854485548564857485848594860486148624863486448654866486748684869487048714872487348744875487648774878487948804881488248834884488548864887488848894890489148924893489448954896489748984899490049014902490349044905490649074908490949104911491249134914491549164917491849194920492149224923492449254926492749284929493049314932493349344935493649374938493949404941494249434944494549464947494849494950495149524953495449554956495749584959496049614962496349644965496649674968496949704971497249734974497549764977497849794980498149824983498449854986498749884989499049914992499349944995499649974998499950005001500250035004500550065007500850095010501150125013501450155016501750185019502050215022502350245025502650275028502950305031503250335034503550365037503850395040504150425043504450455046504750485049505050515052505350545055505650575058505950605061506250635064506550665067506850695070507150725073507450755076507750785079508050815082508350845085508650875088508950905091509250935094509550965097509850995100510151025103510451055106510751085109511051115112511351145115511651175118511951205121512251235124512551265127512851295130513151325133513451355136513751385139514051415142514351445145514651475148514951505151515251535154515551565157515851595160516151625163516451655166516751685169517051715172517351745175517651775178517951805181518251835184518551865187518851895190519151925193519451955196519751985199520052015202520352045205520652075208520952105211521252135214521552165217521852195220522152225223522452255226522752285229523052315232523352345235523652375238523952405241524252435244524552465247524852495250525152525253525452555256525752585259526052615262526352645265526652675268526952705271527252735274527552765277527852795280528152825283528452855286528752885289529052915292529352945295529652975298529953005301530253035304530553065307530853095310531153125313531453155316531753185319532053215322532353245325532653275328532953305331533253335334533553365337533853395340534153425343534453455346534753485349535053515352535353545355535653575358535953605361536253635364536553665367536853695370537153725373537453755376537753785379538053815382538353845385538653875388538953905391539253935394539553965397539853995400540154025403540454055406540754085409541054115412541354145415541654175418541954205421542254235424542554265427542854295430543154325433543454355436543754385439544054415442544354445445544654475448544954505451545254535454545554565457545854595460546154625463546454655466546754685469547054715472547354745475547654775478547954805481548254835484548554865487548854895490549154925493549454955496549754985499550055015502550355045505550655075508550955105511551255135514551555165517551855195520552155225523552455255526552755285529553055315532553355345535553655375538553955405541554255435544554555465547554855495550555155525553555455555556555755585559556055615562556355645565556655675568556955705571557255735574557555765577557855795580558155825583558455855586558755885589559055915592559355945595559655975598559956005601560256035604560556065607560856095610561156125613561456155616561756185619562056215622562356245625562656275628562956305631563256335634563556365637563856395640564156425643564456455646564756485649565056515652565356545655565656575658
  1. //Legal Notice: (C)2020 Altera Corporation. All rights reserved. Your
  2. //use of Altera Corporation's design tools, logic functions and other
  3. //software and tools, and its AMPP partner logic functions, and any
  4. //output files any of the foregoing (including device programming or
  5. //simulation files), and any associated documentation or information are
  6. //expressly subject to the terms and conditions of the Altera Program
  7. //License Subscription Agreement or other applicable license agreement,
  8. //including, without limitation, that your use is for the sole purpose
  9. //of programming logic devices manufactured by Altera and sold by Altera
  10. //or its authorized distributors. Please refer to the applicable
  11. //agreement for further details.
  12. // synthesis translate_off
  13. `timescale 1ns / 1ps
  14. // synthesis translate_on
  15. // turn off superfluous verilog processor warnings
  16. // altera message_level Level1
  17. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  18. module nios2_uc_nios2_cpu_register_bank_a_module (
  19. // inputs:
  20. clock,
  21. data,
  22. rdaddress,
  23. wraddress,
  24. wren,
  25. // outputs:
  26. q
  27. )
  28. ;
  29. parameter lpm_file = "UNUSED";
  30. output [ 31: 0] q;
  31. input clock;
  32. input [ 31: 0] data;
  33. input [ 4: 0] rdaddress;
  34. input [ 4: 0] wraddress;
  35. input wren;
  36. wire [ 31: 0] q;
  37. wire [ 31: 0] ram_data;
  38. wire [ 31: 0] ram_q;
  39. assign q = ram_q;
  40. assign ram_data = data;
  41. altsyncram the_altsyncram
  42. (
  43. .address_a (wraddress),
  44. .address_b (rdaddress),
  45. .clock0 (clock),
  46. .data_a (ram_data),
  47. .q_b (ram_q),
  48. .wren_a (wren)
  49. );
  50. defparam the_altsyncram.address_reg_b = "CLOCK0",
  51. the_altsyncram.init_file = lpm_file,
  52. the_altsyncram.maximum_depth = 0,
  53. the_altsyncram.numwords_a = 32,
  54. the_altsyncram.numwords_b = 32,
  55. the_altsyncram.operation_mode = "DUAL_PORT",
  56. the_altsyncram.outdata_reg_b = "UNREGISTERED",
  57. the_altsyncram.ram_block_type = "AUTO",
  58. the_altsyncram.rdcontrol_reg_b = "CLOCK0",
  59. the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE",
  60. the_altsyncram.width_a = 32,
  61. the_altsyncram.width_b = 32,
  62. the_altsyncram.widthad_a = 5,
  63. the_altsyncram.widthad_b = 5;
  64. endmodule
  65. // synthesis translate_off
  66. `timescale 1ns / 1ps
  67. // synthesis translate_on
  68. // turn off superfluous verilog processor warnings
  69. // altera message_level Level1
  70. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  71. module nios2_uc_nios2_cpu_register_bank_b_module (
  72. // inputs:
  73. clock,
  74. data,
  75. rdaddress,
  76. wraddress,
  77. wren,
  78. // outputs:
  79. q
  80. )
  81. ;
  82. parameter lpm_file = "UNUSED";
  83. output [ 31: 0] q;
  84. input clock;
  85. input [ 31: 0] data;
  86. input [ 4: 0] rdaddress;
  87. input [ 4: 0] wraddress;
  88. input wren;
  89. wire [ 31: 0] q;
  90. wire [ 31: 0] ram_data;
  91. wire [ 31: 0] ram_q;
  92. assign q = ram_q;
  93. assign ram_data = data;
  94. altsyncram the_altsyncram
  95. (
  96. .address_a (wraddress),
  97. .address_b (rdaddress),
  98. .clock0 (clock),
  99. .data_a (ram_data),
  100. .q_b (ram_q),
  101. .wren_a (wren)
  102. );
  103. defparam the_altsyncram.address_reg_b = "CLOCK0",
  104. the_altsyncram.init_file = lpm_file,
  105. the_altsyncram.maximum_depth = 0,
  106. the_altsyncram.numwords_a = 32,
  107. the_altsyncram.numwords_b = 32,
  108. the_altsyncram.operation_mode = "DUAL_PORT",
  109. the_altsyncram.outdata_reg_b = "UNREGISTERED",
  110. the_altsyncram.ram_block_type = "AUTO",
  111. the_altsyncram.rdcontrol_reg_b = "CLOCK0",
  112. the_altsyncram.read_during_write_mode_mixed_ports = "DONT_CARE",
  113. the_altsyncram.width_a = 32,
  114. the_altsyncram.width_b = 32,
  115. the_altsyncram.widthad_a = 5,
  116. the_altsyncram.widthad_b = 5;
  117. endmodule
  118. // synthesis translate_off
  119. `timescale 1ns / 1ps
  120. // synthesis translate_on
  121. // turn off superfluous verilog processor warnings
  122. // altera message_level Level1
  123. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  124. module nios2_uc_nios2_cpu_nios2_oci_debug (
  125. // inputs:
  126. clk,
  127. dbrk_break,
  128. debugreq,
  129. hbreak_enabled,
  130. jdo,
  131. jrst_n,
  132. ocireg_ers,
  133. ocireg_mrs,
  134. reset,
  135. st_ready_test_idle,
  136. take_action_ocimem_a,
  137. take_action_ocireg,
  138. xbrk_break,
  139. // outputs:
  140. debugack,
  141. monitor_error,
  142. monitor_go,
  143. monitor_ready,
  144. oci_hbreak_req,
  145. resetlatch,
  146. resetrequest
  147. )
  148. ;
  149. output debugack;
  150. output monitor_error;
  151. output monitor_go;
  152. output monitor_ready;
  153. output oci_hbreak_req;
  154. output resetlatch;
  155. output resetrequest;
  156. input clk;
  157. input dbrk_break;
  158. input debugreq;
  159. input hbreak_enabled;
  160. input [ 37: 0] jdo;
  161. input jrst_n;
  162. input ocireg_ers;
  163. input ocireg_mrs;
  164. input reset;
  165. input st_ready_test_idle;
  166. input take_action_ocimem_a;
  167. input take_action_ocireg;
  168. input xbrk_break;
  169. reg break_on_reset /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  170. wire debugack;
  171. reg jtag_break /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  172. reg monitor_error /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */;
  173. reg monitor_go /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */;
  174. reg monitor_ready /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=D101" */;
  175. wire oci_hbreak_req;
  176. wire reset_sync;
  177. reg resetlatch /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  178. reg resetrequest /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  179. wire unxcomplemented_resetxx0;
  180. assign unxcomplemented_resetxx0 = jrst_n;
  181. altera_std_synchronizer the_altera_std_synchronizer
  182. (
  183. .clk (clk),
  184. .din (reset),
  185. .dout (reset_sync),
  186. .reset_n (unxcomplemented_resetxx0)
  187. );
  188. defparam the_altera_std_synchronizer.depth = 2;
  189. always @(posedge clk or negedge jrst_n)
  190. begin
  191. if (jrst_n == 0)
  192. begin
  193. resetrequest <= 1'b0;
  194. break_on_reset <= 1'b0;
  195. jtag_break <= 1'b0;
  196. end
  197. else if (take_action_ocimem_a)
  198. begin
  199. resetrequest <= jdo[22];
  200. jtag_break <= jdo[21] ? 1
  201. : jdo[20] ? 0
  202. : jtag_break;
  203. break_on_reset <= jdo[19] ? 1
  204. : jdo[18] ? 0
  205. : break_on_reset;
  206. resetlatch <= jdo[24] ? 0 : resetlatch;
  207. end
  208. else if (reset_sync)
  209. begin
  210. jtag_break <= break_on_reset;
  211. resetlatch <= 1;
  212. end
  213. else if (debugreq & ~debugack & break_on_reset)
  214. jtag_break <= 1'b1;
  215. end
  216. always @(posedge clk or negedge jrst_n)
  217. begin
  218. if (jrst_n == 0)
  219. begin
  220. monitor_ready <= 1'b0;
  221. monitor_error <= 1'b0;
  222. monitor_go <= 1'b0;
  223. end
  224. else
  225. begin
  226. if (take_action_ocimem_a && jdo[25])
  227. monitor_ready <= 1'b0;
  228. else if (take_action_ocireg && ocireg_mrs)
  229. monitor_ready <= 1'b1;
  230. if (take_action_ocimem_a && jdo[25])
  231. monitor_error <= 1'b0;
  232. else if (take_action_ocireg && ocireg_ers)
  233. monitor_error <= 1'b1;
  234. if (take_action_ocimem_a && jdo[23])
  235. monitor_go <= 1'b1;
  236. else if (st_ready_test_idle)
  237. monitor_go <= 1'b0;
  238. end
  239. end
  240. assign oci_hbreak_req = jtag_break | dbrk_break | xbrk_break | debugreq;
  241. assign debugack = ~hbreak_enabled;
  242. endmodule
  243. // synthesis translate_off
  244. `timescale 1ns / 1ps
  245. // synthesis translate_on
  246. // turn off superfluous verilog processor warnings
  247. // altera message_level Level1
  248. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  249. module nios2_uc_nios2_cpu_nios2_oci_break (
  250. // inputs:
  251. clk,
  252. dbrk_break,
  253. dbrk_goto0,
  254. dbrk_goto1,
  255. jdo,
  256. jrst_n,
  257. take_action_break_a,
  258. take_action_break_b,
  259. take_action_break_c,
  260. take_no_action_break_a,
  261. take_no_action_break_b,
  262. take_no_action_break_c,
  263. xbrk_goto0,
  264. xbrk_goto1,
  265. // outputs:
  266. break_readreg,
  267. dbrk_hit0_latch,
  268. dbrk_hit1_latch,
  269. dbrk_hit2_latch,
  270. dbrk_hit3_latch,
  271. trigbrktype,
  272. trigger_state_0,
  273. trigger_state_1,
  274. xbrk_ctrl0,
  275. xbrk_ctrl1,
  276. xbrk_ctrl2,
  277. xbrk_ctrl3
  278. )
  279. ;
  280. output [ 31: 0] break_readreg;
  281. output dbrk_hit0_latch;
  282. output dbrk_hit1_latch;
  283. output dbrk_hit2_latch;
  284. output dbrk_hit3_latch;
  285. output trigbrktype;
  286. output trigger_state_0;
  287. output trigger_state_1;
  288. output [ 7: 0] xbrk_ctrl0;
  289. output [ 7: 0] xbrk_ctrl1;
  290. output [ 7: 0] xbrk_ctrl2;
  291. output [ 7: 0] xbrk_ctrl3;
  292. input clk;
  293. input dbrk_break;
  294. input dbrk_goto0;
  295. input dbrk_goto1;
  296. input [ 37: 0] jdo;
  297. input jrst_n;
  298. input take_action_break_a;
  299. input take_action_break_b;
  300. input take_action_break_c;
  301. input take_no_action_break_a;
  302. input take_no_action_break_b;
  303. input take_no_action_break_c;
  304. input xbrk_goto0;
  305. input xbrk_goto1;
  306. wire [ 3: 0] break_a_wpr;
  307. wire [ 1: 0] break_a_wpr_high_bits;
  308. wire [ 1: 0] break_a_wpr_low_bits;
  309. wire [ 1: 0] break_b_rr;
  310. wire [ 1: 0] break_c_rr;
  311. reg [ 31: 0] break_readreg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  312. wire dbrk0_high_value;
  313. wire dbrk0_low_value;
  314. wire dbrk1_high_value;
  315. wire dbrk1_low_value;
  316. wire dbrk2_high_value;
  317. wire dbrk2_low_value;
  318. wire dbrk3_high_value;
  319. wire dbrk3_low_value;
  320. wire dbrk_hit0_latch;
  321. wire dbrk_hit1_latch;
  322. wire dbrk_hit2_latch;
  323. wire dbrk_hit3_latch;
  324. wire take_action_any_break;
  325. reg trigbrktype /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  326. reg trigger_state;
  327. wire trigger_state_0;
  328. wire trigger_state_1;
  329. wire [ 31: 0] xbrk0_value;
  330. wire [ 31: 0] xbrk1_value;
  331. wire [ 31: 0] xbrk2_value;
  332. wire [ 31: 0] xbrk3_value;
  333. reg [ 7: 0] xbrk_ctrl0 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  334. reg [ 7: 0] xbrk_ctrl1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  335. reg [ 7: 0] xbrk_ctrl2 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  336. reg [ 7: 0] xbrk_ctrl3 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
  337. assign break_a_wpr = jdo[35 : 32];
  338. assign break_a_wpr_high_bits = break_a_wpr[3 : 2];
  339. assign break_a_wpr_low_bits = break_a_wpr[1 : 0];
  340. assign break_b_rr = jdo[33 : 32];
  341. assign break_c_rr = jdo[33 : 32];
  342. assign take_action_any_break = take_action_break_a | take_action_break_b | take_action_break_c;
  343. always @(posedge clk or negedge jrst_n)
  344. begin
  345. if (jrst_n == 0)
  346. begin
  347. xbrk_ctrl0 <= 0;
  348. xbrk_ctrl1 <= 0;
  349. xbrk_ctrl2 <= 0;
  350. xbrk_ctrl3 <= 0;
  351. trigbrktype <= 0;
  352. end
  353. else
  354. begin
  355. if (take_action_any_break)
  356. trigbrktype <= 0;
  357. else if (dbrk_break)
  358. trigbrktype <= 1;
  359. if (take_action_break_b)
  360. begin
  361. if ((break_b_rr == 2'b00) && (0 >= 1))
  362. begin
  363. xbrk_ctrl0[0] <= jdo[27];
  364. xbrk_ctrl0[1] <= jdo[28];
  365. xbrk_ctrl0[2] <= jdo[29];
  366. xbrk_ctrl0[3] <= jdo[30];
  367. xbrk_ctrl0[4] <= jdo[21];
  368. xbrk_ctrl0[5] <= jdo[20];
  369. xbrk_ctrl0[6] <= jdo[19];
  370. xbrk_ctrl0[7] <= jdo[18];
  371. end
  372. if ((break_b_rr == 2'b01) && (0 >= 2))
  373. begin
  374. xbrk_ctrl1[0] <= jdo[27];
  375. xbrk_ctrl1[1] <= jdo[28];
  376. xbrk_ctrl1[2] <= jdo[29];
  377. xbrk_ctrl1[3] <= jdo[30];
  378. xbrk_ctrl1[4] <= jdo[21];
  379. xbrk_ctrl1[5] <= jdo[20];
  380. xbrk_ctrl1[6] <= jdo[19];
  381. xbrk_ctrl1[7] <= jdo[18];
  382. end
  383. if ((break_b_rr == 2'b10) && (0 >= 3))
  384. begin
  385. xbrk_ctrl2[0] <= jdo[27];
  386. xbrk_ctrl2[1] <= jdo[28];
  387. xbrk_ctrl2[2] <= jdo[29];
  388. xbrk_ctrl2[3] <= jdo[30];
  389. xbrk_ctrl2[4] <= jdo[21];
  390. xbrk_ctrl2[5] <= jdo[20];
  391. xbrk_ctrl2[6] <= jdo[19];
  392. xbrk_ctrl2[7] <= jdo[18];
  393. end
  394. if ((break_b_rr == 2'b11) && (0 >= 4))
  395. begin
  396. xbrk_ctrl3[0] <= jdo[27];
  397. xbrk_ctrl3[1] <= jdo[28];
  398. xbrk_ctrl3[2] <= jdo[29];
  399. xbrk_ctrl3[3] <= jdo[30];
  400. xbrk_ctrl3[4] <= jdo[21];
  401. xbrk_ctrl3[5] <= jdo[20];
  402. xbrk_ctrl3[6] <= jdo[19];
  403. xbrk_ctrl3[7] <= jdo[18];
  404. end
  405. end
  406. end
  407. end
  408. assign dbrk_hit0_latch = 1'b0;
  409. assign dbrk0_low_value = 0;
  410. assign dbrk0_high_value = 0;
  411. assign dbrk_hit1_latch = 1'b0;
  412. assign dbrk1_low_value = 0;
  413. assign dbrk1_high_value = 0;
  414. assign dbrk_hit2_latch = 1'b0;
  415. assign dbrk2_low_value = 0;
  416. assign dbrk2_high_value = 0;
  417. assign dbrk_hit3_latch = 1'b0;
  418. assign dbrk3_low_value = 0;
  419. assign dbrk3_high_value = 0;
  420. assign xbrk0_value = 32'b0;
  421. assign xbrk1_value = 32'b0;
  422. assign xbrk2_value = 32'b0;
  423. assign xbrk3_value = 32'b0;
  424. always @(posedge clk or negedge jrst_n)
  425. begin
  426. if (jrst_n == 0)
  427. break_readreg <= 32'b0;
  428. else if (take_action_any_break)
  429. break_readreg <= jdo[31 : 0];
  430. else if (take_no_action_break_a)
  431. case (break_a_wpr_high_bits)
  432. 2'd0: begin
  433. case (break_a_wpr_low_bits) // synthesis full_case
  434. 2'd0: begin
  435. break_readreg <= xbrk0_value;
  436. end // 2'd0
  437. 2'd1: begin
  438. break_readreg <= xbrk1_value;
  439. end // 2'd1
  440. 2'd2: begin
  441. break_readreg <= xbrk2_value;
  442. end // 2'd2
  443. 2'd3: begin
  444. break_readreg <= xbrk3_value;
  445. end // 2'd3
  446. endcase // break_a_wpr_low_bits
  447. end // 2'd0
  448. 2'd1: begin
  449. break_readreg <= 32'b0;
  450. end // 2'd1
  451. 2'd2: begin
  452. case (break_a_wpr_low_bits) // synthesis full_case
  453. 2'd0: begin
  454. break_readreg <= dbrk0_low_value;
  455. end // 2'd0
  456. 2'd1: begin
  457. break_readreg <= dbrk1_low_value;
  458. end // 2'd1
  459. 2'd2: begin
  460. break_readreg <= dbrk2_low_value;
  461. end // 2'd2
  462. 2'd3: begin
  463. break_readreg <= dbrk3_low_value;
  464. end // 2'd3
  465. endcase // break_a_wpr_low_bits
  466. end // 2'd2
  467. 2'd3: begin
  468. case (break_a_wpr_low_bits) // synthesis full_case
  469. 2'd0: begin
  470. break_readreg <= dbrk0_high_value;
  471. end // 2'd0
  472. 2'd1: begin
  473. break_readreg <= dbrk1_high_value;
  474. end // 2'd1
  475. 2'd2: begin
  476. break_readreg <= dbrk2_high_value;
  477. end // 2'd2
  478. 2'd3: begin
  479. break_readreg <= dbrk3_high_value;
  480. end // 2'd3
  481. endcase // break_a_wpr_low_bits
  482. end // 2'd3
  483. endcase // break_a_wpr_high_bits
  484. else if (take_no_action_break_b)
  485. break_readreg <= jdo[31 : 0];
  486. else if (take_no_action_break_c)
  487. break_readreg <= jdo[31 : 0];
  488. end
  489. always @(posedge clk or negedge jrst_n)
  490. begin
  491. if (jrst_n == 0)
  492. trigger_state <= 0;
  493. else if (trigger_state_1 & (xbrk_goto0 | dbrk_goto0))
  494. trigger_state <= 0;
  495. else if (trigger_state_0 & (xbrk_goto1 | dbrk_goto1))
  496. trigger_state <= -1;
  497. end
  498. assign trigger_state_0 = ~trigger_state;
  499. assign trigger_state_1 = trigger_state;
  500. endmodule
  501. // synthesis translate_off
  502. `timescale 1ns / 1ps
  503. // synthesis translate_on
  504. // turn off superfluous verilog processor warnings
  505. // altera message_level Level1
  506. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  507. module nios2_uc_nios2_cpu_nios2_oci_xbrk (
  508. // inputs:
  509. D_valid,
  510. E_valid,
  511. F_pc,
  512. clk,
  513. reset_n,
  514. trigger_state_0,
  515. trigger_state_1,
  516. xbrk_ctrl0,
  517. xbrk_ctrl1,
  518. xbrk_ctrl2,
  519. xbrk_ctrl3,
  520. // outputs:
  521. xbrk_break,
  522. xbrk_goto0,
  523. xbrk_goto1,
  524. xbrk_traceoff,
  525. xbrk_traceon,
  526. xbrk_trigout
  527. )
  528. ;
  529. output xbrk_break;
  530. output xbrk_goto0;
  531. output xbrk_goto1;
  532. output xbrk_traceoff;
  533. output xbrk_traceon;
  534. output xbrk_trigout;
  535. input D_valid;
  536. input E_valid;
  537. input [ 17: 0] F_pc;
  538. input clk;
  539. input reset_n;
  540. input trigger_state_0;
  541. input trigger_state_1;
  542. input [ 7: 0] xbrk_ctrl0;
  543. input [ 7: 0] xbrk_ctrl1;
  544. input [ 7: 0] xbrk_ctrl2;
  545. input [ 7: 0] xbrk_ctrl3;
  546. wire D_cpu_addr_en;
  547. wire E_cpu_addr_en;
  548. reg E_xbrk_goto0;
  549. reg E_xbrk_goto1;
  550. reg E_xbrk_traceoff;
  551. reg E_xbrk_traceon;
  552. reg E_xbrk_trigout;
  553. wire [ 19: 0] cpu_i_address;
  554. wire xbrk0_armed;
  555. wire xbrk0_break_hit;
  556. wire xbrk0_goto0_hit;
  557. wire xbrk0_goto1_hit;
  558. wire xbrk0_toff_hit;
  559. wire xbrk0_ton_hit;
  560. wire xbrk0_tout_hit;
  561. wire xbrk1_armed;
  562. wire xbrk1_break_hit;
  563. wire xbrk1_goto0_hit;
  564. wire xbrk1_goto1_hit;
  565. wire xbrk1_toff_hit;
  566. wire xbrk1_ton_hit;
  567. wire xbrk1_tout_hit;
  568. wire xbrk2_armed;
  569. wire xbrk2_break_hit;
  570. wire xbrk2_goto0_hit;
  571. wire xbrk2_goto1_hit;
  572. wire xbrk2_toff_hit;
  573. wire xbrk2_ton_hit;
  574. wire xbrk2_tout_hit;
  575. wire xbrk3_armed;
  576. wire xbrk3_break_hit;
  577. wire xbrk3_goto0_hit;
  578. wire xbrk3_goto1_hit;
  579. wire xbrk3_toff_hit;
  580. wire xbrk3_ton_hit;
  581. wire xbrk3_tout_hit;
  582. reg xbrk_break;
  583. wire xbrk_break_hit;
  584. wire xbrk_goto0;
  585. wire xbrk_goto0_hit;
  586. wire xbrk_goto1;
  587. wire xbrk_goto1_hit;
  588. wire xbrk_toff_hit;
  589. wire xbrk_ton_hit;
  590. wire xbrk_tout_hit;
  591. wire xbrk_traceoff;
  592. wire xbrk_traceon;
  593. wire xbrk_trigout;
  594. assign cpu_i_address = {F_pc, 2'b00};
  595. assign D_cpu_addr_en = D_valid;
  596. assign E_cpu_addr_en = E_valid;
  597. assign xbrk0_break_hit = 0;
  598. assign xbrk0_ton_hit = 0;
  599. assign xbrk0_toff_hit = 0;
  600. assign xbrk0_tout_hit = 0;
  601. assign xbrk0_goto0_hit = 0;
  602. assign xbrk0_goto1_hit = 0;
  603. assign xbrk1_break_hit = 0;
  604. assign xbrk1_ton_hit = 0;
  605. assign xbrk1_toff_hit = 0;
  606. assign xbrk1_tout_hit = 0;
  607. assign xbrk1_goto0_hit = 0;
  608. assign xbrk1_goto1_hit = 0;
  609. assign xbrk2_break_hit = 0;
  610. assign xbrk2_ton_hit = 0;
  611. assign xbrk2_toff_hit = 0;
  612. assign xbrk2_tout_hit = 0;
  613. assign xbrk2_goto0_hit = 0;
  614. assign xbrk2_goto1_hit = 0;
  615. assign xbrk3_break_hit = 0;
  616. assign xbrk3_ton_hit = 0;
  617. assign xbrk3_toff_hit = 0;
  618. assign xbrk3_tout_hit = 0;
  619. assign xbrk3_goto0_hit = 0;
  620. assign xbrk3_goto1_hit = 0;
  621. assign xbrk_break_hit = (xbrk0_break_hit) | (xbrk1_break_hit) | (xbrk2_break_hit) | (xbrk3_break_hit);
  622. assign xbrk_ton_hit = (xbrk0_ton_hit) | (xbrk1_ton_hit) | (xbrk2_ton_hit) | (xbrk3_ton_hit);
  623. assign xbrk_toff_hit = (xbrk0_toff_hit) | (xbrk1_toff_hit) | (xbrk2_toff_hit) | (xbrk3_toff_hit);
  624. assign xbrk_tout_hit = (xbrk0_tout_hit) | (xbrk1_tout_hit) | (xbrk2_tout_hit) | (xbrk3_tout_hit);
  625. assign xbrk_goto0_hit = (xbrk0_goto0_hit) | (xbrk1_goto0_hit) | (xbrk2_goto0_hit) | (xbrk3_goto0_hit);
  626. assign xbrk_goto1_hit = (xbrk0_goto1_hit) | (xbrk1_goto1_hit) | (xbrk2_goto1_hit) | (xbrk3_goto1_hit);
  627. always @(posedge clk or negedge reset_n)
  628. begin
  629. if (reset_n == 0)
  630. xbrk_break <= 0;
  631. else if (E_cpu_addr_en)
  632. xbrk_break <= xbrk_break_hit;
  633. end
  634. always @(posedge clk or negedge reset_n)
  635. begin
  636. if (reset_n == 0)
  637. E_xbrk_traceon <= 0;
  638. else if (E_cpu_addr_en)
  639. E_xbrk_traceon <= xbrk_ton_hit;
  640. end
  641. always @(posedge clk or negedge reset_n)
  642. begin
  643. if (reset_n == 0)
  644. E_xbrk_traceoff <= 0;
  645. else if (E_cpu_addr_en)
  646. E_xbrk_traceoff <= xbrk_toff_hit;
  647. end
  648. always @(posedge clk or negedge reset_n)
  649. begin
  650. if (reset_n == 0)
  651. E_xbrk_trigout <= 0;
  652. else if (E_cpu_addr_en)
  653. E_xbrk_trigout <= xbrk_tout_hit;
  654. end
  655. always @(posedge clk or negedge reset_n)
  656. begin
  657. if (reset_n == 0)
  658. E_xbrk_goto0 <= 0;
  659. else if (E_cpu_addr_en)
  660. E_xbrk_goto0 <= xbrk_goto0_hit;
  661. end
  662. always @(posedge clk or negedge reset_n)
  663. begin
  664. if (reset_n == 0)
  665. E_xbrk_goto1 <= 0;
  666. else if (E_cpu_addr_en)
  667. E_xbrk_goto1 <= xbrk_goto1_hit;
  668. end
  669. assign xbrk_traceon = 1'b0;
  670. assign xbrk_traceoff = 1'b0;
  671. assign xbrk_trigout = 1'b0;
  672. assign xbrk_goto0 = 1'b0;
  673. assign xbrk_goto1 = 1'b0;
  674. assign xbrk0_armed = (xbrk_ctrl0[4] & trigger_state_0) ||
  675. (xbrk_ctrl0[5] & trigger_state_1);
  676. assign xbrk1_armed = (xbrk_ctrl1[4] & trigger_state_0) ||
  677. (xbrk_ctrl1[5] & trigger_state_1);
  678. assign xbrk2_armed = (xbrk_ctrl2[4] & trigger_state_0) ||
  679. (xbrk_ctrl2[5] & trigger_state_1);
  680. assign xbrk3_armed = (xbrk_ctrl3[4] & trigger_state_0) ||
  681. (xbrk_ctrl3[5] & trigger_state_1);
  682. endmodule
  683. // synthesis translate_off
  684. `timescale 1ns / 1ps
  685. // synthesis translate_on
  686. // turn off superfluous verilog processor warnings
  687. // altera message_level Level1
  688. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  689. module nios2_uc_nios2_cpu_nios2_oci_dbrk (
  690. // inputs:
  691. E_st_data,
  692. av_ld_data_aligned_filtered,
  693. clk,
  694. d_address,
  695. d_read,
  696. d_waitrequest,
  697. d_write,
  698. debugack,
  699. reset_n,
  700. // outputs:
  701. cpu_d_address,
  702. cpu_d_read,
  703. cpu_d_readdata,
  704. cpu_d_wait,
  705. cpu_d_write,
  706. cpu_d_writedata,
  707. dbrk_break,
  708. dbrk_goto0,
  709. dbrk_goto1,
  710. dbrk_traceme,
  711. dbrk_traceoff,
  712. dbrk_traceon,
  713. dbrk_trigout
  714. )
  715. ;
  716. output [ 19: 0] cpu_d_address;
  717. output cpu_d_read;
  718. output [ 31: 0] cpu_d_readdata;
  719. output cpu_d_wait;
  720. output cpu_d_write;
  721. output [ 31: 0] cpu_d_writedata;
  722. output dbrk_break;
  723. output dbrk_goto0;
  724. output dbrk_goto1;
  725. output dbrk_traceme;
  726. output dbrk_traceoff;
  727. output dbrk_traceon;
  728. output dbrk_trigout;
  729. input [ 31: 0] E_st_data;
  730. input [ 31: 0] av_ld_data_aligned_filtered;
  731. input clk;
  732. input [ 19: 0] d_address;
  733. input d_read;
  734. input d_waitrequest;
  735. input d_write;
  736. input debugack;
  737. input reset_n;
  738. wire [ 19: 0] cpu_d_address;
  739. wire cpu_d_read;
  740. wire [ 31: 0] cpu_d_readdata;
  741. wire cpu_d_wait;
  742. wire cpu_d_write;
  743. wire [ 31: 0] cpu_d_writedata;
  744. wire dbrk0_armed;
  745. wire dbrk0_break_pulse;
  746. wire dbrk0_goto0;
  747. wire dbrk0_goto1;
  748. wire dbrk0_traceme;
  749. wire dbrk0_traceoff;
  750. wire dbrk0_traceon;
  751. wire dbrk0_trigout;
  752. wire dbrk1_armed;
  753. wire dbrk1_break_pulse;
  754. wire dbrk1_goto0;
  755. wire dbrk1_goto1;
  756. wire dbrk1_traceme;
  757. wire dbrk1_traceoff;
  758. wire dbrk1_traceon;
  759. wire dbrk1_trigout;
  760. wire dbrk2_armed;
  761. wire dbrk2_break_pulse;
  762. wire dbrk2_goto0;
  763. wire dbrk2_goto1;
  764. wire dbrk2_traceme;
  765. wire dbrk2_traceoff;
  766. wire dbrk2_traceon;
  767. wire dbrk2_trigout;
  768. wire dbrk3_armed;
  769. wire dbrk3_break_pulse;
  770. wire dbrk3_goto0;
  771. wire dbrk3_goto1;
  772. wire dbrk3_traceme;
  773. wire dbrk3_traceoff;
  774. wire dbrk3_traceon;
  775. wire dbrk3_trigout;
  776. reg dbrk_break;
  777. reg dbrk_break_pulse;
  778. wire [ 31: 0] dbrk_data;
  779. reg dbrk_goto0;
  780. reg dbrk_goto1;
  781. reg dbrk_traceme;
  782. reg dbrk_traceoff;
  783. reg dbrk_traceon;
  784. reg dbrk_trigout;
  785. assign cpu_d_address = d_address;
  786. assign cpu_d_readdata = av_ld_data_aligned_filtered;
  787. assign cpu_d_read = d_read;
  788. assign cpu_d_writedata = E_st_data;
  789. assign cpu_d_write = d_write;
  790. assign cpu_d_wait = d_waitrequest;
  791. assign dbrk_data = cpu_d_write ? cpu_d_writedata : cpu_d_readdata;
  792. always @(posedge clk or negedge reset_n)
  793. begin
  794. if (reset_n == 0)
  795. dbrk_break <= 0;
  796. else
  797. dbrk_break <= dbrk_break ? ~debugack
  798. : dbrk_break_pulse;
  799. end
  800. assign dbrk0_armed = 1'b0;
  801. assign dbrk0_trigout = 1'b0;
  802. assign dbrk0_break_pulse = 1'b0;
  803. assign dbrk0_traceoff = 1'b0;
  804. assign dbrk0_traceon = 1'b0;
  805. assign dbrk0_traceme = 1'b0;
  806. assign dbrk0_goto0 = 1'b0;
  807. assign dbrk0_goto1 = 1'b0;
  808. assign dbrk1_armed = 1'b0;
  809. assign dbrk1_trigout = 1'b0;
  810. assign dbrk1_break_pulse = 1'b0;
  811. assign dbrk1_traceoff = 1'b0;
  812. assign dbrk1_traceon = 1'b0;
  813. assign dbrk1_traceme = 1'b0;
  814. assign dbrk1_goto0 = 1'b0;
  815. assign dbrk1_goto1 = 1'b0;
  816. assign dbrk2_armed = 1'b0;
  817. assign dbrk2_trigout = 1'b0;
  818. assign dbrk2_break_pulse = 1'b0;
  819. assign dbrk2_traceoff = 1'b0;
  820. assign dbrk2_traceon = 1'b0;
  821. assign dbrk2_traceme = 1'b0;
  822. assign dbrk2_goto0 = 1'b0;
  823. assign dbrk2_goto1 = 1'b0;
  824. assign dbrk3_armed = 1'b0;
  825. assign dbrk3_trigout = 1'b0;
  826. assign dbrk3_break_pulse = 1'b0;
  827. assign dbrk3_traceoff = 1'b0;
  828. assign dbrk3_traceon = 1'b0;
  829. assign dbrk3_traceme = 1'b0;
  830. assign dbrk3_goto0 = 1'b0;
  831. assign dbrk3_goto1 = 1'b0;
  832. always @(posedge clk or negedge reset_n)
  833. begin
  834. if (reset_n == 0)
  835. begin
  836. dbrk_trigout <= 0;
  837. dbrk_break_pulse <= 0;
  838. dbrk_traceoff <= 0;
  839. dbrk_traceon <= 0;
  840. dbrk_traceme <= 0;
  841. dbrk_goto0 <= 0;
  842. dbrk_goto1 <= 0;
  843. end
  844. else
  845. begin
  846. dbrk_trigout <= dbrk0_trigout | dbrk1_trigout | dbrk2_trigout | dbrk3_trigout;
  847. dbrk_break_pulse <= dbrk0_break_pulse | dbrk1_break_pulse | dbrk2_break_pulse | dbrk3_break_pulse;
  848. dbrk_traceoff <= dbrk0_traceoff | dbrk1_traceoff | dbrk2_traceoff | dbrk3_traceoff;
  849. dbrk_traceon <= dbrk0_traceon | dbrk1_traceon | dbrk2_traceon | dbrk3_traceon;
  850. dbrk_traceme <= dbrk0_traceme | dbrk1_traceme | dbrk2_traceme | dbrk3_traceme;
  851. dbrk_goto0 <= dbrk0_goto0 | dbrk1_goto0 | dbrk2_goto0 | dbrk3_goto0;
  852. dbrk_goto1 <= dbrk0_goto1 | dbrk1_goto1 | dbrk2_goto1 | dbrk3_goto1;
  853. end
  854. end
  855. endmodule
  856. // synthesis translate_off
  857. `timescale 1ns / 1ps
  858. // synthesis translate_on
  859. // turn off superfluous verilog processor warnings
  860. // altera message_level Level1
  861. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  862. module nios2_uc_nios2_cpu_nios2_oci_itrace (
  863. // inputs:
  864. clk,
  865. dbrk_traceoff,
  866. dbrk_traceon,
  867. jdo,
  868. jrst_n,
  869. take_action_tracectrl,
  870. xbrk_traceoff,
  871. xbrk_traceon,
  872. xbrk_wrap_traceoff,
  873. // outputs:
  874. itm,
  875. trc_ctrl,
  876. trc_on
  877. )
  878. ;
  879. output [ 35: 0] itm;
  880. output [ 15: 0] trc_ctrl;
  881. output trc_on;
  882. input clk;
  883. input dbrk_traceoff;
  884. input dbrk_traceon;
  885. input [ 15: 0] jdo;
  886. input jrst_n;
  887. input take_action_tracectrl;
  888. input xbrk_traceoff;
  889. input xbrk_traceon;
  890. input xbrk_wrap_traceoff;
  891. wire advanced_exc_occured;
  892. wire curr_pid;
  893. wire [ 1: 0] dct_code;
  894. wire dct_is_taken;
  895. wire [ 31: 0] eic_addr;
  896. wire [ 31: 0] exc_addr;
  897. wire instr_retired;
  898. wire is_cond_dct;
  899. wire is_dct;
  900. wire is_exception_no_break;
  901. wire is_external_interrupt;
  902. wire is_fast_tlb_miss_exception;
  903. wire is_idct;
  904. wire [ 35: 0] itm;
  905. wire not_in_debug_mode;
  906. wire record_dct_outcome_in_sync;
  907. wire record_itrace;
  908. wire [ 31: 0] retired_pcb;
  909. wire [ 1: 0] sync_code;
  910. wire [ 6: 0] sync_interval;
  911. wire [ 6: 0] sync_timer;
  912. wire [ 6: 0] sync_timer_next;
  913. wire sync_timer_reached_zero;
  914. wire [ 15: 0] trc_ctrl;
  915. reg [ 10: 0] trc_ctrl_reg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  916. wire trc_on;
  917. assign is_cond_dct = 1'b0;
  918. assign is_dct = 1'b0;
  919. assign dct_is_taken = 1'b0;
  920. assign is_idct = 1'b0;
  921. assign retired_pcb = 32'b0;
  922. assign not_in_debug_mode = 1'b0;
  923. assign instr_retired = 1'b0;
  924. assign advanced_exc_occured = 1'b0;
  925. assign is_exception_no_break = 1'b0;
  926. assign is_external_interrupt = 1'b0;
  927. assign is_fast_tlb_miss_exception = 1'b0;
  928. assign curr_pid = 1'b0;
  929. assign exc_addr = 32'b0;
  930. assign eic_addr = 32'b0;
  931. assign sync_code = trc_ctrl[3 : 2];
  932. assign sync_interval = { sync_code[1] & sync_code[0], 1'b0, sync_code[1] & ~sync_code[0], 1'b0, ~sync_code[1] & sync_code[0], 2'b00 };
  933. assign sync_timer_reached_zero = sync_timer == 0;
  934. assign record_dct_outcome_in_sync = dct_is_taken & sync_timer_reached_zero;
  935. assign sync_timer_next = sync_timer_reached_zero ? sync_timer : (sync_timer - 1);
  936. assign record_itrace = trc_on & trc_ctrl[4];
  937. assign dct_code = {is_cond_dct, dct_is_taken};
  938. assign itm = 36'd0;
  939. assign sync_timer = 7'd1;
  940. always @(posedge clk or negedge jrst_n)
  941. begin
  942. if (jrst_n == 0)
  943. begin
  944. trc_ctrl_reg[0] <= 1'b0;
  945. trc_ctrl_reg[1] <= 1'b0;
  946. trc_ctrl_reg[3 : 2] <= 2'b00;
  947. trc_ctrl_reg[4] <= 1'b0;
  948. trc_ctrl_reg[7 : 5] <= 3'b000;
  949. trc_ctrl_reg[8] <= 0;
  950. trc_ctrl_reg[9] <= 1'b0;
  951. trc_ctrl_reg[10] <= 1'b0;
  952. end
  953. else if (take_action_tracectrl)
  954. begin
  955. trc_ctrl_reg[0] <= jdo[5];
  956. trc_ctrl_reg[1] <= jdo[6];
  957. trc_ctrl_reg[3 : 2] <= jdo[8 : 7];
  958. trc_ctrl_reg[4] <= jdo[9];
  959. trc_ctrl_reg[9] <= jdo[14];
  960. trc_ctrl_reg[10] <= jdo[2];
  961. trc_ctrl_reg[7 : 5] <= 3'b000;
  962. trc_ctrl_reg[8] <= 1'b0;
  963. end
  964. else if (xbrk_wrap_traceoff)
  965. begin
  966. trc_ctrl_reg[1] <= 0;
  967. trc_ctrl_reg[0] <= 0;
  968. end
  969. else if (dbrk_traceoff | xbrk_traceoff)
  970. trc_ctrl_reg[1] <= 0;
  971. else if (trc_ctrl_reg[0] &
  972. (dbrk_traceon | xbrk_traceon))
  973. trc_ctrl_reg[1] <= 1;
  974. end
  975. assign trc_ctrl = 0;
  976. assign trc_on = trc_ctrl[1] & (trc_ctrl[9] | not_in_debug_mode);
  977. endmodule
  978. // synthesis translate_off
  979. `timescale 1ns / 1ps
  980. // synthesis translate_on
  981. // turn off superfluous verilog processor warnings
  982. // altera message_level Level1
  983. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  984. module nios2_uc_nios2_cpu_nios2_oci_td_mode (
  985. // inputs:
  986. ctrl,
  987. // outputs:
  988. td_mode
  989. )
  990. ;
  991. output [ 3: 0] td_mode;
  992. input [ 8: 0] ctrl;
  993. wire [ 2: 0] ctrl_bits_for_mux;
  994. reg [ 3: 0] td_mode;
  995. assign ctrl_bits_for_mux = ctrl[7 : 5];
  996. always @(ctrl_bits_for_mux)
  997. begin
  998. case (ctrl_bits_for_mux)
  999. 3'b000: begin
  1000. td_mode = 4'b0000;
  1001. end // 3'b000
  1002. 3'b001: begin
  1003. td_mode = 4'b1000;
  1004. end // 3'b001
  1005. 3'b010: begin
  1006. td_mode = 4'b0100;
  1007. end // 3'b010
  1008. 3'b011: begin
  1009. td_mode = 4'b1100;
  1010. end // 3'b011
  1011. 3'b100: begin
  1012. td_mode = 4'b0010;
  1013. end // 3'b100
  1014. 3'b101: begin
  1015. td_mode = 4'b1010;
  1016. end // 3'b101
  1017. 3'b110: begin
  1018. td_mode = 4'b0101;
  1019. end // 3'b110
  1020. 3'b111: begin
  1021. td_mode = 4'b1111;
  1022. end // 3'b111
  1023. endcase // ctrl_bits_for_mux
  1024. end
  1025. endmodule
  1026. // synthesis translate_off
  1027. `timescale 1ns / 1ps
  1028. // synthesis translate_on
  1029. // turn off superfluous verilog processor warnings
  1030. // altera message_level Level1
  1031. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1032. module nios2_uc_nios2_cpu_nios2_oci_dtrace (
  1033. // inputs:
  1034. clk,
  1035. cpu_d_address,
  1036. cpu_d_read,
  1037. cpu_d_readdata,
  1038. cpu_d_wait,
  1039. cpu_d_write,
  1040. cpu_d_writedata,
  1041. jrst_n,
  1042. trc_ctrl,
  1043. // outputs:
  1044. atm,
  1045. dtm
  1046. )
  1047. ;
  1048. output [ 35: 0] atm;
  1049. output [ 35: 0] dtm;
  1050. input clk;
  1051. input [ 19: 0] cpu_d_address;
  1052. input cpu_d_read;
  1053. input [ 31: 0] cpu_d_readdata;
  1054. input cpu_d_wait;
  1055. input cpu_d_write;
  1056. input [ 31: 0] cpu_d_writedata;
  1057. input jrst_n;
  1058. input [ 15: 0] trc_ctrl;
  1059. reg [ 35: 0] atm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */;
  1060. wire [ 31: 0] cpu_d_address_0_padded;
  1061. wire [ 31: 0] cpu_d_readdata_0_padded;
  1062. wire [ 31: 0] cpu_d_writedata_0_padded;
  1063. reg [ 35: 0] dtm /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */;
  1064. wire dummy_tie_off;
  1065. wire record_load_addr;
  1066. wire record_load_data;
  1067. wire record_store_addr;
  1068. wire record_store_data;
  1069. wire [ 3: 0] td_mode_trc_ctrl;
  1070. assign cpu_d_writedata_0_padded = cpu_d_writedata | 32'b0;
  1071. assign cpu_d_readdata_0_padded = cpu_d_readdata | 32'b0;
  1072. assign cpu_d_address_0_padded = cpu_d_address | 32'b0;
  1073. //nios2_uc_nios2_cpu_nios2_oci_trc_ctrl_td_mode, which is an e_instance
  1074. nios2_uc_nios2_cpu_nios2_oci_td_mode nios2_uc_nios2_cpu_nios2_oci_trc_ctrl_td_mode
  1075. (
  1076. .ctrl (trc_ctrl[8 : 0]),
  1077. .td_mode (td_mode_trc_ctrl)
  1078. );
  1079. assign {record_load_addr, record_store_addr,
  1080. record_load_data, record_store_data} = td_mode_trc_ctrl;
  1081. always @(posedge clk or negedge jrst_n)
  1082. begin
  1083. if (jrst_n == 0)
  1084. begin
  1085. atm <= 0;
  1086. dtm <= 0;
  1087. end
  1088. else
  1089. begin
  1090. atm <= 0;
  1091. dtm <= 0;
  1092. end
  1093. end
  1094. assign dummy_tie_off = cpu_d_wait|cpu_d_read|cpu_d_write;
  1095. endmodule
  1096. // synthesis translate_off
  1097. `timescale 1ns / 1ps
  1098. // synthesis translate_on
  1099. // turn off superfluous verilog processor warnings
  1100. // altera message_level Level1
  1101. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1102. module nios2_uc_nios2_cpu_nios2_oci_compute_input_tm_cnt (
  1103. // inputs:
  1104. atm_valid,
  1105. dtm_valid,
  1106. itm_valid,
  1107. // outputs:
  1108. compute_input_tm_cnt
  1109. )
  1110. ;
  1111. output [ 1: 0] compute_input_tm_cnt;
  1112. input atm_valid;
  1113. input dtm_valid;
  1114. input itm_valid;
  1115. reg [ 1: 0] compute_input_tm_cnt;
  1116. wire [ 2: 0] switch_for_mux;
  1117. assign switch_for_mux = {itm_valid, atm_valid, dtm_valid};
  1118. always @(switch_for_mux)
  1119. begin
  1120. case (switch_for_mux)
  1121. 3'b000: begin
  1122. compute_input_tm_cnt = 0;
  1123. end // 3'b000
  1124. 3'b001: begin
  1125. compute_input_tm_cnt = 1;
  1126. end // 3'b001
  1127. 3'b010: begin
  1128. compute_input_tm_cnt = 1;
  1129. end // 3'b010
  1130. 3'b011: begin
  1131. compute_input_tm_cnt = 2;
  1132. end // 3'b011
  1133. 3'b100: begin
  1134. compute_input_tm_cnt = 1;
  1135. end // 3'b100
  1136. 3'b101: begin
  1137. compute_input_tm_cnt = 2;
  1138. end // 3'b101
  1139. 3'b110: begin
  1140. compute_input_tm_cnt = 2;
  1141. end // 3'b110
  1142. 3'b111: begin
  1143. compute_input_tm_cnt = 3;
  1144. end // 3'b111
  1145. endcase // switch_for_mux
  1146. end
  1147. endmodule
  1148. // synthesis translate_off
  1149. `timescale 1ns / 1ps
  1150. // synthesis translate_on
  1151. // turn off superfluous verilog processor warnings
  1152. // altera message_level Level1
  1153. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1154. module nios2_uc_nios2_cpu_nios2_oci_fifo_wrptr_inc (
  1155. // inputs:
  1156. ge2_free,
  1157. ge3_free,
  1158. input_tm_cnt,
  1159. // outputs:
  1160. fifo_wrptr_inc
  1161. )
  1162. ;
  1163. output [ 3: 0] fifo_wrptr_inc;
  1164. input ge2_free;
  1165. input ge3_free;
  1166. input [ 1: 0] input_tm_cnt;
  1167. reg [ 3: 0] fifo_wrptr_inc;
  1168. always @(ge2_free or ge3_free or input_tm_cnt)
  1169. begin
  1170. if (ge3_free & (input_tm_cnt == 3))
  1171. fifo_wrptr_inc = 3;
  1172. else if (ge2_free & (input_tm_cnt >= 2))
  1173. fifo_wrptr_inc = 2;
  1174. else if (input_tm_cnt >= 1)
  1175. fifo_wrptr_inc = 1;
  1176. else
  1177. fifo_wrptr_inc = 0;
  1178. end
  1179. endmodule
  1180. // synthesis translate_off
  1181. `timescale 1ns / 1ps
  1182. // synthesis translate_on
  1183. // turn off superfluous verilog processor warnings
  1184. // altera message_level Level1
  1185. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1186. module nios2_uc_nios2_cpu_nios2_oci_fifo_cnt_inc (
  1187. // inputs:
  1188. empty,
  1189. ge2_free,
  1190. ge3_free,
  1191. input_tm_cnt,
  1192. // outputs:
  1193. fifo_cnt_inc
  1194. )
  1195. ;
  1196. output [ 4: 0] fifo_cnt_inc;
  1197. input empty;
  1198. input ge2_free;
  1199. input ge3_free;
  1200. input [ 1: 0] input_tm_cnt;
  1201. reg [ 4: 0] fifo_cnt_inc;
  1202. always @(empty or ge2_free or ge3_free or input_tm_cnt)
  1203. begin
  1204. if (empty)
  1205. fifo_cnt_inc = input_tm_cnt[1 : 0];
  1206. else if (ge3_free & (input_tm_cnt == 3))
  1207. fifo_cnt_inc = 2;
  1208. else if (ge2_free & (input_tm_cnt >= 2))
  1209. fifo_cnt_inc = 1;
  1210. else if (input_tm_cnt >= 1)
  1211. fifo_cnt_inc = 0;
  1212. else
  1213. fifo_cnt_inc = {5{1'b1}};
  1214. end
  1215. endmodule
  1216. // synthesis translate_off
  1217. `timescale 1ns / 1ps
  1218. // synthesis translate_on
  1219. // turn off superfluous verilog processor warnings
  1220. // altera message_level Level1
  1221. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1222. module nios2_uc_nios2_cpu_nios2_oci_fifo (
  1223. // inputs:
  1224. atm,
  1225. clk,
  1226. dbrk_traceme,
  1227. dbrk_traceoff,
  1228. dbrk_traceon,
  1229. dtm,
  1230. itm,
  1231. jrst_n,
  1232. reset_n,
  1233. trc_on,
  1234. // outputs:
  1235. tw
  1236. )
  1237. ;
  1238. output [ 35: 0] tw;
  1239. input [ 35: 0] atm;
  1240. input clk;
  1241. input dbrk_traceme;
  1242. input dbrk_traceoff;
  1243. input dbrk_traceon;
  1244. input [ 35: 0] dtm;
  1245. input [ 35: 0] itm;
  1246. input jrst_n;
  1247. input reset_n;
  1248. input trc_on;
  1249. wire atm_valid;
  1250. wire [ 1: 0] compute_input_tm_cnt;
  1251. wire dtm_valid;
  1252. wire empty;
  1253. reg [ 35: 0] fifo_0;
  1254. wire fifo_0_enable;
  1255. wire [ 35: 0] fifo_0_mux;
  1256. reg [ 35: 0] fifo_1;
  1257. reg [ 35: 0] fifo_10;
  1258. wire fifo_10_enable;
  1259. wire [ 35: 0] fifo_10_mux;
  1260. reg [ 35: 0] fifo_11;
  1261. wire fifo_11_enable;
  1262. wire [ 35: 0] fifo_11_mux;
  1263. reg [ 35: 0] fifo_12;
  1264. wire fifo_12_enable;
  1265. wire [ 35: 0] fifo_12_mux;
  1266. reg [ 35: 0] fifo_13;
  1267. wire fifo_13_enable;
  1268. wire [ 35: 0] fifo_13_mux;
  1269. reg [ 35: 0] fifo_14;
  1270. wire fifo_14_enable;
  1271. wire [ 35: 0] fifo_14_mux;
  1272. reg [ 35: 0] fifo_15;
  1273. wire fifo_15_enable;
  1274. wire [ 35: 0] fifo_15_mux;
  1275. wire fifo_1_enable;
  1276. wire [ 35: 0] fifo_1_mux;
  1277. reg [ 35: 0] fifo_2;
  1278. wire fifo_2_enable;
  1279. wire [ 35: 0] fifo_2_mux;
  1280. reg [ 35: 0] fifo_3;
  1281. wire fifo_3_enable;
  1282. wire [ 35: 0] fifo_3_mux;
  1283. reg [ 35: 0] fifo_4;
  1284. wire fifo_4_enable;
  1285. wire [ 35: 0] fifo_4_mux;
  1286. reg [ 35: 0] fifo_5;
  1287. wire fifo_5_enable;
  1288. wire [ 35: 0] fifo_5_mux;
  1289. reg [ 35: 0] fifo_6;
  1290. wire fifo_6_enable;
  1291. wire [ 35: 0] fifo_6_mux;
  1292. reg [ 35: 0] fifo_7;
  1293. wire fifo_7_enable;
  1294. wire [ 35: 0] fifo_7_mux;
  1295. reg [ 35: 0] fifo_8;
  1296. wire fifo_8_enable;
  1297. wire [ 35: 0] fifo_8_mux;
  1298. reg [ 35: 0] fifo_9;
  1299. wire fifo_9_enable;
  1300. wire [ 35: 0] fifo_9_mux;
  1301. reg [ 4: 0] fifo_cnt /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */;
  1302. wire [ 4: 0] fifo_cnt_inc;
  1303. wire [ 35: 0] fifo_head;
  1304. reg [ 3: 0] fifo_rdptr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */;
  1305. wire [ 35: 0] fifo_read_mux;
  1306. reg [ 3: 0] fifo_wrptr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */;
  1307. wire [ 3: 0] fifo_wrptr_inc;
  1308. wire [ 3: 0] fifo_wrptr_plus1;
  1309. wire [ 3: 0] fifo_wrptr_plus2;
  1310. wire ge2_free;
  1311. wire ge3_free;
  1312. wire input_ge1;
  1313. wire input_ge2;
  1314. wire input_ge3;
  1315. wire [ 1: 0] input_tm_cnt;
  1316. wire itm_valid;
  1317. reg overflow_pending /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */;
  1318. wire [ 35: 0] overflow_pending_atm;
  1319. wire [ 35: 0] overflow_pending_dtm;
  1320. wire trc_this;
  1321. wire [ 35: 0] tw;
  1322. assign trc_this = trc_on | (dbrk_traceon & ~dbrk_traceoff) | dbrk_traceme;
  1323. assign itm_valid = |itm[35 : 32];
  1324. assign atm_valid = |atm[35 : 32] & trc_this;
  1325. assign dtm_valid = |dtm[35 : 32] & trc_this;
  1326. assign ge2_free = ~fifo_cnt[4];
  1327. assign ge3_free = ge2_free & ~&fifo_cnt[3 : 0];
  1328. assign empty = ~|fifo_cnt;
  1329. assign fifo_wrptr_plus1 = fifo_wrptr + 1;
  1330. assign fifo_wrptr_plus2 = fifo_wrptr + 2;
  1331. nios2_uc_nios2_cpu_nios2_oci_compute_input_tm_cnt the_nios2_uc_nios2_cpu_nios2_oci_compute_input_tm_cnt
  1332. (
  1333. .atm_valid (atm_valid),
  1334. .compute_input_tm_cnt (compute_input_tm_cnt),
  1335. .dtm_valid (dtm_valid),
  1336. .itm_valid (itm_valid)
  1337. );
  1338. assign input_tm_cnt = compute_input_tm_cnt;
  1339. nios2_uc_nios2_cpu_nios2_oci_fifo_wrptr_inc the_nios2_uc_nios2_cpu_nios2_oci_fifo_wrptr_inc
  1340. (
  1341. .fifo_wrptr_inc (fifo_wrptr_inc),
  1342. .ge2_free (ge2_free),
  1343. .ge3_free (ge3_free),
  1344. .input_tm_cnt (input_tm_cnt)
  1345. );
  1346. nios2_uc_nios2_cpu_nios2_oci_fifo_cnt_inc the_nios2_uc_nios2_cpu_nios2_oci_fifo_cnt_inc
  1347. (
  1348. .empty (empty),
  1349. .fifo_cnt_inc (fifo_cnt_inc),
  1350. .ge2_free (ge2_free),
  1351. .ge3_free (ge3_free),
  1352. .input_tm_cnt (input_tm_cnt)
  1353. );
  1354. always @(posedge clk or negedge jrst_n)
  1355. begin
  1356. if (jrst_n == 0)
  1357. begin
  1358. fifo_rdptr <= 0;
  1359. fifo_wrptr <= 0;
  1360. fifo_cnt <= 0;
  1361. overflow_pending <= 1;
  1362. end
  1363. else
  1364. begin
  1365. fifo_wrptr <= fifo_wrptr + fifo_wrptr_inc;
  1366. fifo_cnt <= fifo_cnt + fifo_cnt_inc;
  1367. if (~empty)
  1368. fifo_rdptr <= fifo_rdptr + 1;
  1369. if (~trc_this || (~ge2_free & input_ge2) || (~ge3_free & input_ge3))
  1370. overflow_pending <= 1;
  1371. else if (atm_valid | dtm_valid)
  1372. overflow_pending <= 0;
  1373. end
  1374. end
  1375. assign fifo_head = fifo_read_mux;
  1376. assign tw = itm;
  1377. assign fifo_0_enable = ((fifo_wrptr == 4'd0) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd0) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd0) && input_ge3);
  1378. always @(posedge clk or negedge reset_n)
  1379. begin
  1380. if (reset_n == 0)
  1381. fifo_0 <= 0;
  1382. else if (fifo_0_enable)
  1383. fifo_0 <= fifo_0_mux;
  1384. end
  1385. assign fifo_0_mux = (((fifo_wrptr == 4'd0) && itm_valid))? itm :
  1386. (((fifo_wrptr == 4'd0) && atm_valid))? overflow_pending_atm :
  1387. (((fifo_wrptr == 4'd0) && dtm_valid))? overflow_pending_dtm :
  1388. (((fifo_wrptr_plus1 == 4'd0) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1389. (((fifo_wrptr_plus1 == 4'd0) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1390. (((fifo_wrptr_plus1 == 4'd0) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1391. overflow_pending_dtm;
  1392. assign fifo_1_enable = ((fifo_wrptr == 4'd1) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd1) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd1) && input_ge3);
  1393. always @(posedge clk or negedge reset_n)
  1394. begin
  1395. if (reset_n == 0)
  1396. fifo_1 <= 0;
  1397. else if (fifo_1_enable)
  1398. fifo_1 <= fifo_1_mux;
  1399. end
  1400. assign fifo_1_mux = (((fifo_wrptr == 4'd1) && itm_valid))? itm :
  1401. (((fifo_wrptr == 4'd1) && atm_valid))? overflow_pending_atm :
  1402. (((fifo_wrptr == 4'd1) && dtm_valid))? overflow_pending_dtm :
  1403. (((fifo_wrptr_plus1 == 4'd1) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1404. (((fifo_wrptr_plus1 == 4'd1) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1405. (((fifo_wrptr_plus1 == 4'd1) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1406. overflow_pending_dtm;
  1407. assign fifo_2_enable = ((fifo_wrptr == 4'd2) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd2) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd2) && input_ge3);
  1408. always @(posedge clk or negedge reset_n)
  1409. begin
  1410. if (reset_n == 0)
  1411. fifo_2 <= 0;
  1412. else if (fifo_2_enable)
  1413. fifo_2 <= fifo_2_mux;
  1414. end
  1415. assign fifo_2_mux = (((fifo_wrptr == 4'd2) && itm_valid))? itm :
  1416. (((fifo_wrptr == 4'd2) && atm_valid))? overflow_pending_atm :
  1417. (((fifo_wrptr == 4'd2) && dtm_valid))? overflow_pending_dtm :
  1418. (((fifo_wrptr_plus1 == 4'd2) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1419. (((fifo_wrptr_plus1 == 4'd2) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1420. (((fifo_wrptr_plus1 == 4'd2) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1421. overflow_pending_dtm;
  1422. assign fifo_3_enable = ((fifo_wrptr == 4'd3) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd3) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd3) && input_ge3);
  1423. always @(posedge clk or negedge reset_n)
  1424. begin
  1425. if (reset_n == 0)
  1426. fifo_3 <= 0;
  1427. else if (fifo_3_enable)
  1428. fifo_3 <= fifo_3_mux;
  1429. end
  1430. assign fifo_3_mux = (((fifo_wrptr == 4'd3) && itm_valid))? itm :
  1431. (((fifo_wrptr == 4'd3) && atm_valid))? overflow_pending_atm :
  1432. (((fifo_wrptr == 4'd3) && dtm_valid))? overflow_pending_dtm :
  1433. (((fifo_wrptr_plus1 == 4'd3) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1434. (((fifo_wrptr_plus1 == 4'd3) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1435. (((fifo_wrptr_plus1 == 4'd3) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1436. overflow_pending_dtm;
  1437. assign fifo_4_enable = ((fifo_wrptr == 4'd4) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd4) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd4) && input_ge3);
  1438. always @(posedge clk or negedge reset_n)
  1439. begin
  1440. if (reset_n == 0)
  1441. fifo_4 <= 0;
  1442. else if (fifo_4_enable)
  1443. fifo_4 <= fifo_4_mux;
  1444. end
  1445. assign fifo_4_mux = (((fifo_wrptr == 4'd4) && itm_valid))? itm :
  1446. (((fifo_wrptr == 4'd4) && atm_valid))? overflow_pending_atm :
  1447. (((fifo_wrptr == 4'd4) && dtm_valid))? overflow_pending_dtm :
  1448. (((fifo_wrptr_plus1 == 4'd4) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1449. (((fifo_wrptr_plus1 == 4'd4) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1450. (((fifo_wrptr_plus1 == 4'd4) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1451. overflow_pending_dtm;
  1452. assign fifo_5_enable = ((fifo_wrptr == 4'd5) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd5) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd5) && input_ge3);
  1453. always @(posedge clk or negedge reset_n)
  1454. begin
  1455. if (reset_n == 0)
  1456. fifo_5 <= 0;
  1457. else if (fifo_5_enable)
  1458. fifo_5 <= fifo_5_mux;
  1459. end
  1460. assign fifo_5_mux = (((fifo_wrptr == 4'd5) && itm_valid))? itm :
  1461. (((fifo_wrptr == 4'd5) && atm_valid))? overflow_pending_atm :
  1462. (((fifo_wrptr == 4'd5) && dtm_valid))? overflow_pending_dtm :
  1463. (((fifo_wrptr_plus1 == 4'd5) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1464. (((fifo_wrptr_plus1 == 4'd5) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1465. (((fifo_wrptr_plus1 == 4'd5) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1466. overflow_pending_dtm;
  1467. assign fifo_6_enable = ((fifo_wrptr == 4'd6) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd6) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd6) && input_ge3);
  1468. always @(posedge clk or negedge reset_n)
  1469. begin
  1470. if (reset_n == 0)
  1471. fifo_6 <= 0;
  1472. else if (fifo_6_enable)
  1473. fifo_6 <= fifo_6_mux;
  1474. end
  1475. assign fifo_6_mux = (((fifo_wrptr == 4'd6) && itm_valid))? itm :
  1476. (((fifo_wrptr == 4'd6) && atm_valid))? overflow_pending_atm :
  1477. (((fifo_wrptr == 4'd6) && dtm_valid))? overflow_pending_dtm :
  1478. (((fifo_wrptr_plus1 == 4'd6) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1479. (((fifo_wrptr_plus1 == 4'd6) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1480. (((fifo_wrptr_plus1 == 4'd6) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1481. overflow_pending_dtm;
  1482. assign fifo_7_enable = ((fifo_wrptr == 4'd7) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd7) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd7) && input_ge3);
  1483. always @(posedge clk or negedge reset_n)
  1484. begin
  1485. if (reset_n == 0)
  1486. fifo_7 <= 0;
  1487. else if (fifo_7_enable)
  1488. fifo_7 <= fifo_7_mux;
  1489. end
  1490. assign fifo_7_mux = (((fifo_wrptr == 4'd7) && itm_valid))? itm :
  1491. (((fifo_wrptr == 4'd7) && atm_valid))? overflow_pending_atm :
  1492. (((fifo_wrptr == 4'd7) && dtm_valid))? overflow_pending_dtm :
  1493. (((fifo_wrptr_plus1 == 4'd7) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1494. (((fifo_wrptr_plus1 == 4'd7) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1495. (((fifo_wrptr_plus1 == 4'd7) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1496. overflow_pending_dtm;
  1497. assign fifo_8_enable = ((fifo_wrptr == 4'd8) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd8) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd8) && input_ge3);
  1498. always @(posedge clk or negedge reset_n)
  1499. begin
  1500. if (reset_n == 0)
  1501. fifo_8 <= 0;
  1502. else if (fifo_8_enable)
  1503. fifo_8 <= fifo_8_mux;
  1504. end
  1505. assign fifo_8_mux = (((fifo_wrptr == 4'd8) && itm_valid))? itm :
  1506. (((fifo_wrptr == 4'd8) && atm_valid))? overflow_pending_atm :
  1507. (((fifo_wrptr == 4'd8) && dtm_valid))? overflow_pending_dtm :
  1508. (((fifo_wrptr_plus1 == 4'd8) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1509. (((fifo_wrptr_plus1 == 4'd8) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1510. (((fifo_wrptr_plus1 == 4'd8) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1511. overflow_pending_dtm;
  1512. assign fifo_9_enable = ((fifo_wrptr == 4'd9) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd9) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd9) && input_ge3);
  1513. always @(posedge clk or negedge reset_n)
  1514. begin
  1515. if (reset_n == 0)
  1516. fifo_9 <= 0;
  1517. else if (fifo_9_enable)
  1518. fifo_9 <= fifo_9_mux;
  1519. end
  1520. assign fifo_9_mux = (((fifo_wrptr == 4'd9) && itm_valid))? itm :
  1521. (((fifo_wrptr == 4'd9) && atm_valid))? overflow_pending_atm :
  1522. (((fifo_wrptr == 4'd9) && dtm_valid))? overflow_pending_dtm :
  1523. (((fifo_wrptr_plus1 == 4'd9) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1524. (((fifo_wrptr_plus1 == 4'd9) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1525. (((fifo_wrptr_plus1 == 4'd9) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1526. overflow_pending_dtm;
  1527. assign fifo_10_enable = ((fifo_wrptr == 4'd10) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd10) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd10) && input_ge3);
  1528. always @(posedge clk or negedge reset_n)
  1529. begin
  1530. if (reset_n == 0)
  1531. fifo_10 <= 0;
  1532. else if (fifo_10_enable)
  1533. fifo_10 <= fifo_10_mux;
  1534. end
  1535. assign fifo_10_mux = (((fifo_wrptr == 4'd10) && itm_valid))? itm :
  1536. (((fifo_wrptr == 4'd10) && atm_valid))? overflow_pending_atm :
  1537. (((fifo_wrptr == 4'd10) && dtm_valid))? overflow_pending_dtm :
  1538. (((fifo_wrptr_plus1 == 4'd10) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1539. (((fifo_wrptr_plus1 == 4'd10) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1540. (((fifo_wrptr_plus1 == 4'd10) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1541. overflow_pending_dtm;
  1542. assign fifo_11_enable = ((fifo_wrptr == 4'd11) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd11) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd11) && input_ge3);
  1543. always @(posedge clk or negedge reset_n)
  1544. begin
  1545. if (reset_n == 0)
  1546. fifo_11 <= 0;
  1547. else if (fifo_11_enable)
  1548. fifo_11 <= fifo_11_mux;
  1549. end
  1550. assign fifo_11_mux = (((fifo_wrptr == 4'd11) && itm_valid))? itm :
  1551. (((fifo_wrptr == 4'd11) && atm_valid))? overflow_pending_atm :
  1552. (((fifo_wrptr == 4'd11) && dtm_valid))? overflow_pending_dtm :
  1553. (((fifo_wrptr_plus1 == 4'd11) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1554. (((fifo_wrptr_plus1 == 4'd11) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1555. (((fifo_wrptr_plus1 == 4'd11) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1556. overflow_pending_dtm;
  1557. assign fifo_12_enable = ((fifo_wrptr == 4'd12) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd12) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd12) && input_ge3);
  1558. always @(posedge clk or negedge reset_n)
  1559. begin
  1560. if (reset_n == 0)
  1561. fifo_12 <= 0;
  1562. else if (fifo_12_enable)
  1563. fifo_12 <= fifo_12_mux;
  1564. end
  1565. assign fifo_12_mux = (((fifo_wrptr == 4'd12) && itm_valid))? itm :
  1566. (((fifo_wrptr == 4'd12) && atm_valid))? overflow_pending_atm :
  1567. (((fifo_wrptr == 4'd12) && dtm_valid))? overflow_pending_dtm :
  1568. (((fifo_wrptr_plus1 == 4'd12) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1569. (((fifo_wrptr_plus1 == 4'd12) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1570. (((fifo_wrptr_plus1 == 4'd12) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1571. overflow_pending_dtm;
  1572. assign fifo_13_enable = ((fifo_wrptr == 4'd13) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd13) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd13) && input_ge3);
  1573. always @(posedge clk or negedge reset_n)
  1574. begin
  1575. if (reset_n == 0)
  1576. fifo_13 <= 0;
  1577. else if (fifo_13_enable)
  1578. fifo_13 <= fifo_13_mux;
  1579. end
  1580. assign fifo_13_mux = (((fifo_wrptr == 4'd13) && itm_valid))? itm :
  1581. (((fifo_wrptr == 4'd13) && atm_valid))? overflow_pending_atm :
  1582. (((fifo_wrptr == 4'd13) && dtm_valid))? overflow_pending_dtm :
  1583. (((fifo_wrptr_plus1 == 4'd13) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1584. (((fifo_wrptr_plus1 == 4'd13) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1585. (((fifo_wrptr_plus1 == 4'd13) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1586. overflow_pending_dtm;
  1587. assign fifo_14_enable = ((fifo_wrptr == 4'd14) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd14) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd14) && input_ge3);
  1588. always @(posedge clk or negedge reset_n)
  1589. begin
  1590. if (reset_n == 0)
  1591. fifo_14 <= 0;
  1592. else if (fifo_14_enable)
  1593. fifo_14 <= fifo_14_mux;
  1594. end
  1595. assign fifo_14_mux = (((fifo_wrptr == 4'd14) && itm_valid))? itm :
  1596. (((fifo_wrptr == 4'd14) && atm_valid))? overflow_pending_atm :
  1597. (((fifo_wrptr == 4'd14) && dtm_valid))? overflow_pending_dtm :
  1598. (((fifo_wrptr_plus1 == 4'd14) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1599. (((fifo_wrptr_plus1 == 4'd14) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1600. (((fifo_wrptr_plus1 == 4'd14) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1601. overflow_pending_dtm;
  1602. assign fifo_15_enable = ((fifo_wrptr == 4'd15) && input_ge1) || (ge2_free && (fifo_wrptr_plus1== 4'd15) && input_ge2) ||(ge3_free && (fifo_wrptr_plus2== 4'd15) && input_ge3);
  1603. always @(posedge clk or negedge reset_n)
  1604. begin
  1605. if (reset_n == 0)
  1606. fifo_15 <= 0;
  1607. else if (fifo_15_enable)
  1608. fifo_15 <= fifo_15_mux;
  1609. end
  1610. assign fifo_15_mux = (((fifo_wrptr == 4'd15) && itm_valid))? itm :
  1611. (((fifo_wrptr == 4'd15) && atm_valid))? overflow_pending_atm :
  1612. (((fifo_wrptr == 4'd15) && dtm_valid))? overflow_pending_dtm :
  1613. (((fifo_wrptr_plus1 == 4'd15) && (ge2_free & itm_valid & atm_valid)))? overflow_pending_atm :
  1614. (((fifo_wrptr_plus1 == 4'd15) && (ge2_free & itm_valid & dtm_valid)))? overflow_pending_dtm :
  1615. (((fifo_wrptr_plus1 == 4'd15) && (ge2_free & atm_valid & dtm_valid)))? overflow_pending_dtm :
  1616. overflow_pending_dtm;
  1617. assign input_ge1 = |input_tm_cnt;
  1618. assign input_ge2 = input_tm_cnt[1];
  1619. assign input_ge3 = &input_tm_cnt;
  1620. assign overflow_pending_atm = {overflow_pending, atm[34 : 0]};
  1621. assign overflow_pending_dtm = {overflow_pending, dtm[34 : 0]};
  1622. assign fifo_read_mux = (fifo_rdptr == 4'd0)? fifo_0 :
  1623. (fifo_rdptr == 4'd1)? fifo_1 :
  1624. (fifo_rdptr == 4'd2)? fifo_2 :
  1625. (fifo_rdptr == 4'd3)? fifo_3 :
  1626. (fifo_rdptr == 4'd4)? fifo_4 :
  1627. (fifo_rdptr == 4'd5)? fifo_5 :
  1628. (fifo_rdptr == 4'd6)? fifo_6 :
  1629. (fifo_rdptr == 4'd7)? fifo_7 :
  1630. (fifo_rdptr == 4'd8)? fifo_8 :
  1631. (fifo_rdptr == 4'd9)? fifo_9 :
  1632. (fifo_rdptr == 4'd10)? fifo_10 :
  1633. (fifo_rdptr == 4'd11)? fifo_11 :
  1634. (fifo_rdptr == 4'd12)? fifo_12 :
  1635. (fifo_rdptr == 4'd13)? fifo_13 :
  1636. (fifo_rdptr == 4'd14)? fifo_14 :
  1637. fifo_15;
  1638. endmodule
  1639. // synthesis translate_off
  1640. `timescale 1ns / 1ps
  1641. // synthesis translate_on
  1642. // turn off superfluous verilog processor warnings
  1643. // altera message_level Level1
  1644. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1645. module nios2_uc_nios2_cpu_nios2_oci_pib (
  1646. // outputs:
  1647. tr_data
  1648. )
  1649. ;
  1650. output [ 35: 0] tr_data;
  1651. wire [ 35: 0] tr_data;
  1652. assign tr_data = 0;
  1653. endmodule
  1654. // synthesis translate_off
  1655. `timescale 1ns / 1ps
  1656. // synthesis translate_on
  1657. // turn off superfluous verilog processor warnings
  1658. // altera message_level Level1
  1659. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1660. module nios2_uc_nios2_cpu_nios2_oci_im (
  1661. // inputs:
  1662. clk,
  1663. jrst_n,
  1664. trc_ctrl,
  1665. tw,
  1666. // outputs:
  1667. tracemem_on,
  1668. tracemem_trcdata,
  1669. tracemem_tw,
  1670. trc_im_addr,
  1671. trc_wrap,
  1672. xbrk_wrap_traceoff
  1673. )
  1674. ;
  1675. output tracemem_on;
  1676. output [ 35: 0] tracemem_trcdata;
  1677. output tracemem_tw;
  1678. output [ 6: 0] trc_im_addr;
  1679. output trc_wrap;
  1680. output xbrk_wrap_traceoff;
  1681. input clk;
  1682. input jrst_n;
  1683. input [ 15: 0] trc_ctrl;
  1684. input [ 35: 0] tw;
  1685. wire tracemem_on;
  1686. wire [ 35: 0] tracemem_trcdata;
  1687. wire tracemem_tw;
  1688. reg [ 6: 0] trc_im_addr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1689. wire [ 35: 0] trc_im_data;
  1690. wire trc_on_chip;
  1691. reg trc_wrap /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1692. wire tw_valid;
  1693. wire xbrk_wrap_traceoff;
  1694. assign trc_im_data = tw;
  1695. always @(posedge clk or negedge jrst_n)
  1696. begin
  1697. if (jrst_n == 0)
  1698. begin
  1699. trc_im_addr <= 0;
  1700. trc_wrap <= 0;
  1701. end
  1702. else
  1703. begin
  1704. trc_im_addr <= 0;
  1705. trc_wrap <= 0;
  1706. end
  1707. end
  1708. assign trc_on_chip = ~trc_ctrl[8];
  1709. assign tw_valid = |trc_im_data[35 : 32];
  1710. assign xbrk_wrap_traceoff = trc_ctrl[10] & trc_wrap;
  1711. assign tracemem_trcdata = 0;
  1712. endmodule
  1713. // synthesis translate_off
  1714. `timescale 1ns / 1ps
  1715. // synthesis translate_on
  1716. // turn off superfluous verilog processor warnings
  1717. // altera message_level Level1
  1718. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1719. module nios2_uc_nios2_cpu_nios2_performance_monitors
  1720. ;
  1721. endmodule
  1722. // synthesis translate_off
  1723. `timescale 1ns / 1ps
  1724. // synthesis translate_on
  1725. // turn off superfluous verilog processor warnings
  1726. // altera message_level Level1
  1727. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1728. module nios2_uc_nios2_cpu_nios2_avalon_reg (
  1729. // inputs:
  1730. address,
  1731. clk,
  1732. debugaccess,
  1733. monitor_error,
  1734. monitor_go,
  1735. monitor_ready,
  1736. reset_n,
  1737. write,
  1738. writedata,
  1739. // outputs:
  1740. oci_ienable,
  1741. oci_reg_readdata,
  1742. oci_single_step_mode,
  1743. ocireg_ers,
  1744. ocireg_mrs,
  1745. take_action_ocireg
  1746. )
  1747. ;
  1748. output [ 31: 0] oci_ienable;
  1749. output [ 31: 0] oci_reg_readdata;
  1750. output oci_single_step_mode;
  1751. output ocireg_ers;
  1752. output ocireg_mrs;
  1753. output take_action_ocireg;
  1754. input [ 8: 0] address;
  1755. input clk;
  1756. input debugaccess;
  1757. input monitor_error;
  1758. input monitor_go;
  1759. input monitor_ready;
  1760. input reset_n;
  1761. input write;
  1762. input [ 31: 0] writedata;
  1763. reg [ 31: 0] oci_ienable;
  1764. wire oci_reg_00_addressed;
  1765. wire oci_reg_01_addressed;
  1766. wire [ 31: 0] oci_reg_readdata;
  1767. reg oci_single_step_mode;
  1768. wire ocireg_ers;
  1769. wire ocireg_mrs;
  1770. wire ocireg_sstep;
  1771. wire take_action_oci_intr_mask_reg;
  1772. wire take_action_ocireg;
  1773. wire write_strobe;
  1774. assign oci_reg_00_addressed = address == 9'h100;
  1775. assign oci_reg_01_addressed = address == 9'h101;
  1776. assign write_strobe = write & debugaccess;
  1777. assign take_action_ocireg = write_strobe & oci_reg_00_addressed;
  1778. assign take_action_oci_intr_mask_reg = write_strobe & oci_reg_01_addressed;
  1779. assign ocireg_ers = writedata[1];
  1780. assign ocireg_mrs = writedata[0];
  1781. assign ocireg_sstep = writedata[3];
  1782. assign oci_reg_readdata = oci_reg_00_addressed ? {28'b0, oci_single_step_mode, monitor_go,
  1783. monitor_ready, monitor_error} :
  1784. oci_reg_01_addressed ? oci_ienable :
  1785. 32'b0;
  1786. always @(posedge clk or negedge reset_n)
  1787. begin
  1788. if (reset_n == 0)
  1789. oci_single_step_mode <= 1'b0;
  1790. else if (take_action_ocireg)
  1791. oci_single_step_mode <= ocireg_sstep;
  1792. end
  1793. always @(posedge clk or negedge reset_n)
  1794. begin
  1795. if (reset_n == 0)
  1796. oci_ienable <= 32'b00000000000000000000000000000001;
  1797. else if (take_action_oci_intr_mask_reg)
  1798. oci_ienable <= writedata | ~(32'b00000000000000000000000000000001);
  1799. end
  1800. endmodule
  1801. // synthesis translate_off
  1802. `timescale 1ns / 1ps
  1803. // synthesis translate_on
  1804. // turn off superfluous verilog processor warnings
  1805. // altera message_level Level1
  1806. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1807. module nios2_uc_nios2_cpu_ociram_sp_ram_module (
  1808. // inputs:
  1809. address,
  1810. byteenable,
  1811. clock,
  1812. data,
  1813. reset_req,
  1814. wren,
  1815. // outputs:
  1816. q
  1817. )
  1818. ;
  1819. parameter lpm_file = "UNUSED";
  1820. output [ 31: 0] q;
  1821. input [ 7: 0] address;
  1822. input [ 3: 0] byteenable;
  1823. input clock;
  1824. input [ 31: 0] data;
  1825. input reset_req;
  1826. input wren;
  1827. wire clocken;
  1828. wire [ 31: 0] q;
  1829. wire [ 31: 0] ram_q;
  1830. assign q = ram_q;
  1831. assign clocken = ~reset_req;
  1832. altsyncram the_altsyncram
  1833. (
  1834. .address_a (address),
  1835. .byteena_a (byteenable),
  1836. .clock0 (clock),
  1837. .clocken0 (clocken),
  1838. .data_a (data),
  1839. .q_a (ram_q),
  1840. .wren_a (wren)
  1841. );
  1842. defparam the_altsyncram.init_file = lpm_file,
  1843. the_altsyncram.maximum_depth = 0,
  1844. the_altsyncram.numwords_a = 256,
  1845. the_altsyncram.operation_mode = "SINGLE_PORT",
  1846. the_altsyncram.outdata_reg_a = "UNREGISTERED",
  1847. the_altsyncram.ram_block_type = "AUTO",
  1848. the_altsyncram.read_during_write_mode_port_a = "DONT_CARE",
  1849. the_altsyncram.width_a = 32,
  1850. the_altsyncram.width_byteena_a = 4,
  1851. the_altsyncram.widthad_a = 8;
  1852. endmodule
  1853. // synthesis translate_off
  1854. `timescale 1ns / 1ps
  1855. // synthesis translate_on
  1856. // turn off superfluous verilog processor warnings
  1857. // altera message_level Level1
  1858. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  1859. module nios2_uc_nios2_cpu_nios2_ocimem (
  1860. // inputs:
  1861. address,
  1862. byteenable,
  1863. clk,
  1864. debugaccess,
  1865. jdo,
  1866. jrst_n,
  1867. read,
  1868. reset_req,
  1869. take_action_ocimem_a,
  1870. take_action_ocimem_b,
  1871. take_no_action_ocimem_a,
  1872. write,
  1873. writedata,
  1874. // outputs:
  1875. MonDReg,
  1876. ociram_readdata,
  1877. waitrequest
  1878. )
  1879. ;
  1880. output [ 31: 0] MonDReg;
  1881. output [ 31: 0] ociram_readdata;
  1882. output waitrequest;
  1883. input [ 8: 0] address;
  1884. input [ 3: 0] byteenable;
  1885. input clk;
  1886. input debugaccess;
  1887. input [ 37: 0] jdo;
  1888. input jrst_n;
  1889. input read;
  1890. input reset_req;
  1891. input take_action_ocimem_a;
  1892. input take_action_ocimem_b;
  1893. input take_no_action_ocimem_a;
  1894. input write;
  1895. input [ 31: 0] writedata;
  1896. reg [ 10: 0] MonAReg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1897. wire [ 8: 0] MonARegAddrInc;
  1898. wire MonARegAddrIncAccessingRAM;
  1899. reg [ 31: 0] MonDReg /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1900. reg avalon_ociram_readdata_ready /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1901. wire avalon_ram_wr;
  1902. wire [ 31: 0] cfgrom_readdata;
  1903. reg jtag_ram_access /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1904. reg jtag_ram_rd /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1905. reg jtag_ram_rd_d1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1906. reg jtag_ram_wr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1907. reg jtag_rd /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1908. reg jtag_rd_d1 /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1909. wire [ 7: 0] ociram_addr;
  1910. wire [ 3: 0] ociram_byteenable;
  1911. wire [ 31: 0] ociram_readdata;
  1912. wire ociram_reset_req;
  1913. wire [ 31: 0] ociram_wr_data;
  1914. wire ociram_wr_en;
  1915. reg waitrequest /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
  1916. always @(posedge clk or negedge jrst_n)
  1917. begin
  1918. if (jrst_n == 0)
  1919. begin
  1920. jtag_rd <= 1'b0;
  1921. jtag_rd_d1 <= 1'b0;
  1922. jtag_ram_wr <= 1'b0;
  1923. jtag_ram_rd <= 1'b0;
  1924. jtag_ram_rd_d1 <= 1'b0;
  1925. jtag_ram_access <= 1'b0;
  1926. MonAReg <= 0;
  1927. MonDReg <= 0;
  1928. waitrequest <= 1'b1;
  1929. avalon_ociram_readdata_ready <= 1'b0;
  1930. end
  1931. else
  1932. begin
  1933. if (take_no_action_ocimem_a)
  1934. begin
  1935. MonAReg[10 : 2] <= MonARegAddrInc;
  1936. jtag_rd <= 1'b1;
  1937. jtag_ram_rd <= MonARegAddrIncAccessingRAM;
  1938. jtag_ram_access <= MonARegAddrIncAccessingRAM;
  1939. end
  1940. else if (take_action_ocimem_a)
  1941. begin
  1942. MonAReg[10 : 2] <= { jdo[17],
  1943. jdo[33 : 26] };
  1944. jtag_rd <= 1'b1;
  1945. jtag_ram_rd <= ~jdo[17];
  1946. jtag_ram_access <= ~jdo[17];
  1947. end
  1948. else if (take_action_ocimem_b)
  1949. begin
  1950. MonAReg[10 : 2] <= MonARegAddrInc;
  1951. MonDReg <= jdo[34 : 3];
  1952. jtag_ram_wr <= MonARegAddrIncAccessingRAM;
  1953. jtag_ram_access <= MonARegAddrIncAccessingRAM;
  1954. end
  1955. else
  1956. begin
  1957. jtag_rd <= 0;
  1958. jtag_ram_wr <= 0;
  1959. jtag_ram_rd <= 0;
  1960. jtag_ram_access <= 0;
  1961. if (jtag_rd_d1)
  1962. MonDReg <= jtag_ram_rd_d1 ? ociram_readdata : cfgrom_readdata;
  1963. end
  1964. jtag_rd_d1 <= jtag_rd;
  1965. jtag_ram_rd_d1 <= jtag_ram_rd;
  1966. if (~waitrequest)
  1967. begin
  1968. waitrequest <= 1'b1;
  1969. avalon_ociram_readdata_ready <= 1'b0;
  1970. end
  1971. else if (write)
  1972. waitrequest <= ~address[8] & jtag_ram_access;
  1973. else if (read)
  1974. begin
  1975. avalon_ociram_readdata_ready <= ~(~address[8] & jtag_ram_access);
  1976. waitrequest <= ~avalon_ociram_readdata_ready;
  1977. end
  1978. else
  1979. begin
  1980. waitrequest <= 1'b1;
  1981. avalon_ociram_readdata_ready <= 1'b0;
  1982. end
  1983. end
  1984. end
  1985. assign MonARegAddrInc = MonAReg[10 : 2]+1;
  1986. assign MonARegAddrIncAccessingRAM = ~MonARegAddrInc[8];
  1987. assign avalon_ram_wr = write & ~address[8] & debugaccess;
  1988. assign ociram_addr = jtag_ram_access ? MonAReg[9 : 2] : address[7 : 0];
  1989. assign ociram_wr_data = jtag_ram_access ? MonDReg[31 : 0] : writedata;
  1990. assign ociram_byteenable = jtag_ram_access ? 4'b1111 : byteenable;
  1991. assign ociram_wr_en = jtag_ram_access ? jtag_ram_wr : avalon_ram_wr;
  1992. assign ociram_reset_req = reset_req & ~jtag_ram_access;
  1993. //nios2_uc_nios2_cpu_ociram_sp_ram, which is an nios_sp_ram
  1994. nios2_uc_nios2_cpu_ociram_sp_ram_module nios2_uc_nios2_cpu_ociram_sp_ram
  1995. (
  1996. .address (ociram_addr),
  1997. .byteenable (ociram_byteenable),
  1998. .clock (clk),
  1999. .data (ociram_wr_data),
  2000. .q (ociram_readdata),
  2001. .reset_req (ociram_reset_req),
  2002. .wren (ociram_wr_en)
  2003. );
  2004. //synthesis translate_off
  2005. `ifdef NO_PLI
  2006. defparam nios2_uc_nios2_cpu_ociram_sp_ram.lpm_file = "nios2_uc_nios2_cpu_ociram_default_contents.dat";
  2007. `else
  2008. defparam nios2_uc_nios2_cpu_ociram_sp_ram.lpm_file = "nios2_uc_nios2_cpu_ociram_default_contents.hex";
  2009. `endif
  2010. //synthesis translate_on
  2011. assign cfgrom_readdata = (MonAReg[4 : 2] == 3'd0)? 32'h00040020 :
  2012. (MonAReg[4 : 2] == 3'd1)? 32'h00001414 :
  2013. (MonAReg[4 : 2] == 3'd2)? 32'h00040000 :
  2014. (MonAReg[4 : 2] == 3'd3)? 32'h00000100 :
  2015. (MonAReg[4 : 2] == 3'd4)? 32'h20000000 :
  2016. (MonAReg[4 : 2] == 3'd5)? 32'h00040000 :
  2017. (MonAReg[4 : 2] == 3'd6)? 32'h00000000 :
  2018. 32'h00000000;
  2019. endmodule
  2020. // synthesis translate_off
  2021. `timescale 1ns / 1ps
  2022. // synthesis translate_on
  2023. // turn off superfluous verilog processor warnings
  2024. // altera message_level Level1
  2025. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  2026. module nios2_uc_nios2_cpu_nios2_oci (
  2027. // inputs:
  2028. D_valid,
  2029. E_st_data,
  2030. E_valid,
  2031. F_pc,
  2032. address_nxt,
  2033. av_ld_data_aligned_filtered,
  2034. byteenable_nxt,
  2035. clk,
  2036. d_address,
  2037. d_read,
  2038. d_waitrequest,
  2039. d_write,
  2040. debugaccess_nxt,
  2041. hbreak_enabled,
  2042. read_nxt,
  2043. reset,
  2044. reset_n,
  2045. reset_req,
  2046. write_nxt,
  2047. writedata_nxt,
  2048. // outputs:
  2049. debug_mem_slave_debugaccess_to_roms,
  2050. oci_hbreak_req,
  2051. oci_ienable,
  2052. oci_single_step_mode,
  2053. readdata,
  2054. resetrequest,
  2055. waitrequest
  2056. )
  2057. ;
  2058. output debug_mem_slave_debugaccess_to_roms;
  2059. output oci_hbreak_req;
  2060. output [ 31: 0] oci_ienable;
  2061. output oci_single_step_mode;
  2062. output [ 31: 0] readdata;
  2063. output resetrequest;
  2064. output waitrequest;
  2065. input D_valid;
  2066. input [ 31: 0] E_st_data;
  2067. input E_valid;
  2068. input [ 17: 0] F_pc;
  2069. input [ 8: 0] address_nxt;
  2070. input [ 31: 0] av_ld_data_aligned_filtered;
  2071. input [ 3: 0] byteenable_nxt;
  2072. input clk;
  2073. input [ 19: 0] d_address;
  2074. input d_read;
  2075. input d_waitrequest;
  2076. input d_write;
  2077. input debugaccess_nxt;
  2078. input hbreak_enabled;
  2079. input read_nxt;
  2080. input reset;
  2081. input reset_n;
  2082. input reset_req;
  2083. input write_nxt;
  2084. input [ 31: 0] writedata_nxt;
  2085. wire [ 31: 0] MonDReg;
  2086. reg [ 8: 0] address;
  2087. wire [ 35: 0] atm;
  2088. wire [ 31: 0] break_readreg;
  2089. reg [ 3: 0] byteenable;
  2090. wire [ 19: 0] cpu_d_address;
  2091. wire cpu_d_read;
  2092. wire [ 31: 0] cpu_d_readdata;
  2093. wire cpu_d_wait;
  2094. wire cpu_d_write;
  2095. wire [ 31: 0] cpu_d_writedata;
  2096. wire dbrk_break;
  2097. wire dbrk_goto0;
  2098. wire dbrk_goto1;
  2099. wire dbrk_hit0_latch;
  2100. wire dbrk_hit1_latch;
  2101. wire dbrk_hit2_latch;
  2102. wire dbrk_hit3_latch;
  2103. wire dbrk_traceme;
  2104. wire dbrk_traceoff;
  2105. wire dbrk_traceon;
  2106. wire dbrk_trigout;
  2107. wire debug_mem_slave_debugaccess_to_roms;
  2108. reg debugaccess;
  2109. wire debugack;
  2110. wire debugreq;
  2111. wire [ 35: 0] dtm;
  2112. wire dummy_sink;
  2113. wire [ 35: 0] itm;
  2114. wire [ 37: 0] jdo;
  2115. wire jrst_n;
  2116. wire monitor_error;
  2117. wire monitor_go;
  2118. wire monitor_ready;
  2119. wire oci_hbreak_req;
  2120. wire [ 31: 0] oci_ienable;
  2121. wire [ 31: 0] oci_reg_readdata;
  2122. wire oci_single_step_mode;
  2123. wire [ 31: 0] ociram_readdata;
  2124. wire ocireg_ers;
  2125. wire ocireg_mrs;
  2126. reg read;
  2127. reg [ 31: 0] readdata;
  2128. wire resetlatch;
  2129. wire resetrequest;
  2130. wire st_ready_test_idle;
  2131. wire take_action_break_a;
  2132. wire take_action_break_b;
  2133. wire take_action_break_c;
  2134. wire take_action_ocimem_a;
  2135. wire take_action_ocimem_b;
  2136. wire take_action_ocireg;
  2137. wire take_action_tracectrl;
  2138. wire take_no_action_break_a;
  2139. wire take_no_action_break_b;
  2140. wire take_no_action_break_c;
  2141. wire take_no_action_ocimem_a;
  2142. wire [ 35: 0] tr_data;
  2143. wire tracemem_on;
  2144. wire [ 35: 0] tracemem_trcdata;
  2145. wire tracemem_tw;
  2146. wire [ 15: 0] trc_ctrl;
  2147. wire [ 6: 0] trc_im_addr;
  2148. wire trc_on;
  2149. wire trc_wrap;
  2150. wire trigbrktype;
  2151. wire trigger_state_0;
  2152. wire trigger_state_1;
  2153. wire trigout;
  2154. wire [ 35: 0] tw;
  2155. wire waitrequest;
  2156. reg write;
  2157. reg [ 31: 0] writedata;
  2158. wire xbrk_break;
  2159. wire [ 7: 0] xbrk_ctrl0;
  2160. wire [ 7: 0] xbrk_ctrl1;
  2161. wire [ 7: 0] xbrk_ctrl2;
  2162. wire [ 7: 0] xbrk_ctrl3;
  2163. wire xbrk_goto0;
  2164. wire xbrk_goto1;
  2165. wire xbrk_traceoff;
  2166. wire xbrk_traceon;
  2167. wire xbrk_trigout;
  2168. wire xbrk_wrap_traceoff;
  2169. nios2_uc_nios2_cpu_nios2_oci_debug the_nios2_uc_nios2_cpu_nios2_oci_debug
  2170. (
  2171. .clk (clk),
  2172. .dbrk_break (dbrk_break),
  2173. .debugack (debugack),
  2174. .debugreq (debugreq),
  2175. .hbreak_enabled (hbreak_enabled),
  2176. .jdo (jdo),
  2177. .jrst_n (jrst_n),
  2178. .monitor_error (monitor_error),
  2179. .monitor_go (monitor_go),
  2180. .monitor_ready (monitor_ready),
  2181. .oci_hbreak_req (oci_hbreak_req),
  2182. .ocireg_ers (ocireg_ers),
  2183. .ocireg_mrs (ocireg_mrs),
  2184. .reset (reset),
  2185. .resetlatch (resetlatch),
  2186. .resetrequest (resetrequest),
  2187. .st_ready_test_idle (st_ready_test_idle),
  2188. .take_action_ocimem_a (take_action_ocimem_a),
  2189. .take_action_ocireg (take_action_ocireg),
  2190. .xbrk_break (xbrk_break)
  2191. );
  2192. nios2_uc_nios2_cpu_nios2_oci_break the_nios2_uc_nios2_cpu_nios2_oci_break
  2193. (
  2194. .break_readreg (break_readreg),
  2195. .clk (clk),
  2196. .dbrk_break (dbrk_break),
  2197. .dbrk_goto0 (dbrk_goto0),
  2198. .dbrk_goto1 (dbrk_goto1),
  2199. .dbrk_hit0_latch (dbrk_hit0_latch),
  2200. .dbrk_hit1_latch (dbrk_hit1_latch),
  2201. .dbrk_hit2_latch (dbrk_hit2_latch),
  2202. .dbrk_hit3_latch (dbrk_hit3_latch),
  2203. .jdo (jdo),
  2204. .jrst_n (jrst_n),
  2205. .take_action_break_a (take_action_break_a),
  2206. .take_action_break_b (take_action_break_b),
  2207. .take_action_break_c (take_action_break_c),
  2208. .take_no_action_break_a (take_no_action_break_a),
  2209. .take_no_action_break_b (take_no_action_break_b),
  2210. .take_no_action_break_c (take_no_action_break_c),
  2211. .trigbrktype (trigbrktype),
  2212. .trigger_state_0 (trigger_state_0),
  2213. .trigger_state_1 (trigger_state_1),
  2214. .xbrk_ctrl0 (xbrk_ctrl0),
  2215. .xbrk_ctrl1 (xbrk_ctrl1),
  2216. .xbrk_ctrl2 (xbrk_ctrl2),
  2217. .xbrk_ctrl3 (xbrk_ctrl3),
  2218. .xbrk_goto0 (xbrk_goto0),
  2219. .xbrk_goto1 (xbrk_goto1)
  2220. );
  2221. nios2_uc_nios2_cpu_nios2_oci_xbrk the_nios2_uc_nios2_cpu_nios2_oci_xbrk
  2222. (
  2223. .D_valid (D_valid),
  2224. .E_valid (E_valid),
  2225. .F_pc (F_pc),
  2226. .clk (clk),
  2227. .reset_n (reset_n),
  2228. .trigger_state_0 (trigger_state_0),
  2229. .trigger_state_1 (trigger_state_1),
  2230. .xbrk_break (xbrk_break),
  2231. .xbrk_ctrl0 (xbrk_ctrl0),
  2232. .xbrk_ctrl1 (xbrk_ctrl1),
  2233. .xbrk_ctrl2 (xbrk_ctrl2),
  2234. .xbrk_ctrl3 (xbrk_ctrl3),
  2235. .xbrk_goto0 (xbrk_goto0),
  2236. .xbrk_goto1 (xbrk_goto1),
  2237. .xbrk_traceoff (xbrk_traceoff),
  2238. .xbrk_traceon (xbrk_traceon),
  2239. .xbrk_trigout (xbrk_trigout)
  2240. );
  2241. nios2_uc_nios2_cpu_nios2_oci_dbrk the_nios2_uc_nios2_cpu_nios2_oci_dbrk
  2242. (
  2243. .E_st_data (E_st_data),
  2244. .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered),
  2245. .clk (clk),
  2246. .cpu_d_address (cpu_d_address),
  2247. .cpu_d_read (cpu_d_read),
  2248. .cpu_d_readdata (cpu_d_readdata),
  2249. .cpu_d_wait (cpu_d_wait),
  2250. .cpu_d_write (cpu_d_write),
  2251. .cpu_d_writedata (cpu_d_writedata),
  2252. .d_address (d_address),
  2253. .d_read (d_read),
  2254. .d_waitrequest (d_waitrequest),
  2255. .d_write (d_write),
  2256. .dbrk_break (dbrk_break),
  2257. .dbrk_goto0 (dbrk_goto0),
  2258. .dbrk_goto1 (dbrk_goto1),
  2259. .dbrk_traceme (dbrk_traceme),
  2260. .dbrk_traceoff (dbrk_traceoff),
  2261. .dbrk_traceon (dbrk_traceon),
  2262. .dbrk_trigout (dbrk_trigout),
  2263. .debugack (debugack),
  2264. .reset_n (reset_n)
  2265. );
  2266. nios2_uc_nios2_cpu_nios2_oci_itrace the_nios2_uc_nios2_cpu_nios2_oci_itrace
  2267. (
  2268. .clk (clk),
  2269. .dbrk_traceoff (dbrk_traceoff),
  2270. .dbrk_traceon (dbrk_traceon),
  2271. .itm (itm),
  2272. .jdo (jdo),
  2273. .jrst_n (jrst_n),
  2274. .take_action_tracectrl (take_action_tracectrl),
  2275. .trc_ctrl (trc_ctrl),
  2276. .trc_on (trc_on),
  2277. .xbrk_traceoff (xbrk_traceoff),
  2278. .xbrk_traceon (xbrk_traceon),
  2279. .xbrk_wrap_traceoff (xbrk_wrap_traceoff)
  2280. );
  2281. nios2_uc_nios2_cpu_nios2_oci_dtrace the_nios2_uc_nios2_cpu_nios2_oci_dtrace
  2282. (
  2283. .atm (atm),
  2284. .clk (clk),
  2285. .cpu_d_address (cpu_d_address),
  2286. .cpu_d_read (cpu_d_read),
  2287. .cpu_d_readdata (cpu_d_readdata),
  2288. .cpu_d_wait (cpu_d_wait),
  2289. .cpu_d_write (cpu_d_write),
  2290. .cpu_d_writedata (cpu_d_writedata),
  2291. .dtm (dtm),
  2292. .jrst_n (jrst_n),
  2293. .trc_ctrl (trc_ctrl)
  2294. );
  2295. nios2_uc_nios2_cpu_nios2_oci_fifo the_nios2_uc_nios2_cpu_nios2_oci_fifo
  2296. (
  2297. .atm (atm),
  2298. .clk (clk),
  2299. .dbrk_traceme (dbrk_traceme),
  2300. .dbrk_traceoff (dbrk_traceoff),
  2301. .dbrk_traceon (dbrk_traceon),
  2302. .dtm (dtm),
  2303. .itm (itm),
  2304. .jrst_n (jrst_n),
  2305. .reset_n (reset_n),
  2306. .trc_on (trc_on),
  2307. .tw (tw)
  2308. );
  2309. nios2_uc_nios2_cpu_nios2_oci_pib the_nios2_uc_nios2_cpu_nios2_oci_pib
  2310. (
  2311. .tr_data (tr_data)
  2312. );
  2313. nios2_uc_nios2_cpu_nios2_oci_im the_nios2_uc_nios2_cpu_nios2_oci_im
  2314. (
  2315. .clk (clk),
  2316. .jrst_n (jrst_n),
  2317. .tracemem_on (tracemem_on),
  2318. .tracemem_trcdata (tracemem_trcdata),
  2319. .tracemem_tw (tracemem_tw),
  2320. .trc_ctrl (trc_ctrl),
  2321. .trc_im_addr (trc_im_addr),
  2322. .trc_wrap (trc_wrap),
  2323. .tw (tw),
  2324. .xbrk_wrap_traceoff (xbrk_wrap_traceoff)
  2325. );
  2326. nios2_uc_nios2_cpu_nios2_avalon_reg the_nios2_uc_nios2_cpu_nios2_avalon_reg
  2327. (
  2328. .address (address),
  2329. .clk (clk),
  2330. .debugaccess (debugaccess),
  2331. .monitor_error (monitor_error),
  2332. .monitor_go (monitor_go),
  2333. .monitor_ready (monitor_ready),
  2334. .oci_ienable (oci_ienable),
  2335. .oci_reg_readdata (oci_reg_readdata),
  2336. .oci_single_step_mode (oci_single_step_mode),
  2337. .ocireg_ers (ocireg_ers),
  2338. .ocireg_mrs (ocireg_mrs),
  2339. .reset_n (reset_n),
  2340. .take_action_ocireg (take_action_ocireg),
  2341. .write (write),
  2342. .writedata (writedata)
  2343. );
  2344. nios2_uc_nios2_cpu_nios2_ocimem the_nios2_uc_nios2_cpu_nios2_ocimem
  2345. (
  2346. .MonDReg (MonDReg),
  2347. .address (address),
  2348. .byteenable (byteenable),
  2349. .clk (clk),
  2350. .debugaccess (debugaccess),
  2351. .jdo (jdo),
  2352. .jrst_n (jrst_n),
  2353. .ociram_readdata (ociram_readdata),
  2354. .read (read),
  2355. .reset_req (reset_req),
  2356. .take_action_ocimem_a (take_action_ocimem_a),
  2357. .take_action_ocimem_b (take_action_ocimem_b),
  2358. .take_no_action_ocimem_a (take_no_action_ocimem_a),
  2359. .waitrequest (waitrequest),
  2360. .write (write),
  2361. .writedata (writedata)
  2362. );
  2363. assign trigout = dbrk_trigout | xbrk_trigout;
  2364. assign debug_mem_slave_debugaccess_to_roms = debugack;
  2365. always @(posedge clk or negedge jrst_n)
  2366. begin
  2367. if (jrst_n == 0)
  2368. address <= 0;
  2369. else
  2370. address <= address_nxt;
  2371. end
  2372. always @(posedge clk or negedge jrst_n)
  2373. begin
  2374. if (jrst_n == 0)
  2375. byteenable <= 0;
  2376. else
  2377. byteenable <= byteenable_nxt;
  2378. end
  2379. always @(posedge clk or negedge jrst_n)
  2380. begin
  2381. if (jrst_n == 0)
  2382. writedata <= 0;
  2383. else
  2384. writedata <= writedata_nxt;
  2385. end
  2386. always @(posedge clk or negedge jrst_n)
  2387. begin
  2388. if (jrst_n == 0)
  2389. debugaccess <= 0;
  2390. else
  2391. debugaccess <= debugaccess_nxt;
  2392. end
  2393. always @(posedge clk or negedge jrst_n)
  2394. begin
  2395. if (jrst_n == 0)
  2396. read <= 0;
  2397. else
  2398. read <= read ? waitrequest : read_nxt;
  2399. end
  2400. always @(posedge clk or negedge jrst_n)
  2401. begin
  2402. if (jrst_n == 0)
  2403. write <= 0;
  2404. else
  2405. write <= write ? waitrequest : write_nxt;
  2406. end
  2407. always @(posedge clk or negedge jrst_n)
  2408. begin
  2409. if (jrst_n == 0)
  2410. readdata <= 0;
  2411. else
  2412. readdata <= address[8] ? oci_reg_readdata : ociram_readdata;
  2413. end
  2414. nios2_uc_nios2_cpu_debug_slave_wrapper the_nios2_uc_nios2_cpu_debug_slave_wrapper
  2415. (
  2416. .MonDReg (MonDReg),
  2417. .break_readreg (break_readreg),
  2418. .clk (clk),
  2419. .dbrk_hit0_latch (dbrk_hit0_latch),
  2420. .dbrk_hit1_latch (dbrk_hit1_latch),
  2421. .dbrk_hit2_latch (dbrk_hit2_latch),
  2422. .dbrk_hit3_latch (dbrk_hit3_latch),
  2423. .debugack (debugack),
  2424. .jdo (jdo),
  2425. .jrst_n (jrst_n),
  2426. .monitor_error (monitor_error),
  2427. .monitor_ready (monitor_ready),
  2428. .reset_n (reset_n),
  2429. .resetlatch (resetlatch),
  2430. .st_ready_test_idle (st_ready_test_idle),
  2431. .take_action_break_a (take_action_break_a),
  2432. .take_action_break_b (take_action_break_b),
  2433. .take_action_break_c (take_action_break_c),
  2434. .take_action_ocimem_a (take_action_ocimem_a),
  2435. .take_action_ocimem_b (take_action_ocimem_b),
  2436. .take_action_tracectrl (take_action_tracectrl),
  2437. .take_no_action_break_a (take_no_action_break_a),
  2438. .take_no_action_break_b (take_no_action_break_b),
  2439. .take_no_action_break_c (take_no_action_break_c),
  2440. .take_no_action_ocimem_a (take_no_action_ocimem_a),
  2441. .tracemem_on (tracemem_on),
  2442. .tracemem_trcdata (tracemem_trcdata),
  2443. .tracemem_tw (tracemem_tw),
  2444. .trc_im_addr (trc_im_addr),
  2445. .trc_on (trc_on),
  2446. .trc_wrap (trc_wrap),
  2447. .trigbrktype (trigbrktype),
  2448. .trigger_state_1 (trigger_state_1)
  2449. );
  2450. //dummy sink, which is an e_mux
  2451. assign dummy_sink = tr_data |
  2452. trigout |
  2453. debugack;
  2454. assign debugreq = 0;
  2455. endmodule
  2456. // synthesis translate_off
  2457. `timescale 1ns / 1ps
  2458. // synthesis translate_on
  2459. // turn off superfluous verilog processor warnings
  2460. // altera message_level Level1
  2461. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  2462. module nios2_uc_nios2_cpu (
  2463. // inputs:
  2464. clk,
  2465. d_readdata,
  2466. d_waitrequest,
  2467. debug_mem_slave_address,
  2468. debug_mem_slave_byteenable,
  2469. debug_mem_slave_debugaccess,
  2470. debug_mem_slave_read,
  2471. debug_mem_slave_write,
  2472. debug_mem_slave_writedata,
  2473. i_readdata,
  2474. i_waitrequest,
  2475. irq,
  2476. reset_n,
  2477. reset_req,
  2478. // outputs:
  2479. d_address,
  2480. d_byteenable,
  2481. d_read,
  2482. d_write,
  2483. d_writedata,
  2484. debug_mem_slave_debugaccess_to_roms,
  2485. debug_mem_slave_readdata,
  2486. debug_mem_slave_waitrequest,
  2487. debug_reset_request,
  2488. dummy_ci_port,
  2489. i_address,
  2490. i_read
  2491. )
  2492. ;
  2493. output [ 19: 0] d_address;
  2494. output [ 3: 0] d_byteenable;
  2495. output d_read;
  2496. output d_write;
  2497. output [ 31: 0] d_writedata;
  2498. output debug_mem_slave_debugaccess_to_roms;
  2499. output [ 31: 0] debug_mem_slave_readdata;
  2500. output debug_mem_slave_waitrequest;
  2501. output debug_reset_request;
  2502. output dummy_ci_port;
  2503. output [ 19: 0] i_address;
  2504. output i_read;
  2505. input clk;
  2506. input [ 31: 0] d_readdata;
  2507. input d_waitrequest;
  2508. input [ 8: 0] debug_mem_slave_address;
  2509. input [ 3: 0] debug_mem_slave_byteenable;
  2510. input debug_mem_slave_debugaccess;
  2511. input debug_mem_slave_read;
  2512. input debug_mem_slave_write;
  2513. input [ 31: 0] debug_mem_slave_writedata;
  2514. input [ 31: 0] i_readdata;
  2515. input i_waitrequest;
  2516. input [ 31: 0] irq;
  2517. input reset_n;
  2518. input reset_req;
  2519. reg A_valid_from_M /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
  2520. wire [ 1: 0] D_compare_op;
  2521. wire D_ctrl_alu_force_and;
  2522. wire D_ctrl_alu_force_xor;
  2523. wire D_ctrl_alu_signed_comparison;
  2524. wire D_ctrl_alu_subtract;
  2525. wire D_ctrl_b_is_dst;
  2526. wire D_ctrl_br;
  2527. wire D_ctrl_br_cmp;
  2528. wire D_ctrl_br_uncond;
  2529. wire D_ctrl_break;
  2530. wire D_ctrl_crst;
  2531. wire D_ctrl_custom;
  2532. wire D_ctrl_custom_multi;
  2533. wire D_ctrl_exception;
  2534. wire D_ctrl_force_src2_zero;
  2535. wire D_ctrl_hi_imm16;
  2536. wire D_ctrl_ignore_dst;
  2537. wire D_ctrl_implicit_dst_eretaddr;
  2538. wire D_ctrl_implicit_dst_retaddr;
  2539. wire D_ctrl_intr_inst;
  2540. wire D_ctrl_jmp_direct;
  2541. wire D_ctrl_jmp_indirect;
  2542. wire D_ctrl_ld;
  2543. wire D_ctrl_ld_ex;
  2544. wire D_ctrl_ld_io;
  2545. wire D_ctrl_ld_non_io;
  2546. wire D_ctrl_ld_signed;
  2547. wire D_ctrl_ld_st_ex;
  2548. wire D_ctrl_logic;
  2549. wire D_ctrl_mem16;
  2550. wire D_ctrl_mem32;
  2551. wire D_ctrl_mem8;
  2552. wire D_ctrl_rd_ctl_reg;
  2553. wire D_ctrl_retaddr;
  2554. wire D_ctrl_rot_right;
  2555. wire D_ctrl_set_src2_rem_imm;
  2556. wire D_ctrl_shift_logical;
  2557. wire D_ctrl_shift_right_arith;
  2558. wire D_ctrl_shift_rot;
  2559. wire D_ctrl_shift_rot_right;
  2560. wire D_ctrl_signed_imm12;
  2561. wire D_ctrl_src2_choose_imm;
  2562. wire D_ctrl_src_imm5_shift_rot;
  2563. wire D_ctrl_st;
  2564. wire D_ctrl_st_ex;
  2565. wire D_ctrl_uncond_cti_non_br;
  2566. wire D_ctrl_unsigned_lo_imm16;
  2567. wire D_ctrl_wrctl_inst;
  2568. wire [ 4: 0] D_dst_regnum;
  2569. wire [ 55: 0] D_inst;
  2570. wire D_is_opx_inst;
  2571. reg [ 31: 0] D_iw /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
  2572. wire [ 4: 0] D_iw_a;
  2573. wire [ 4: 0] D_iw_b;
  2574. wire [ 4: 0] D_iw_c;
  2575. wire [ 4: 0] D_iw_control_regnum;
  2576. wire [ 7: 0] D_iw_custom_n;
  2577. wire D_iw_custom_readra;
  2578. wire D_iw_custom_readrb;
  2579. wire D_iw_custom_writerc;
  2580. wire [ 15: 0] D_iw_imm16;
  2581. wire [ 25: 0] D_iw_imm26;
  2582. wire [ 4: 0] D_iw_imm5;
  2583. wire [ 1: 0] D_iw_memsz;
  2584. wire [ 5: 0] D_iw_op;
  2585. wire [ 5: 0] D_iw_opx;
  2586. wire [ 17: 0] D_jmp_direct_target_waddr;
  2587. wire [ 1: 0] D_logic_op;
  2588. wire [ 1: 0] D_logic_op_raw;
  2589. wire D_mem16;
  2590. wire D_mem32;
  2591. wire D_mem8;
  2592. wire D_op_add;
  2593. wire D_op_addi;
  2594. wire D_op_and;
  2595. wire D_op_andhi;
  2596. wire D_op_andi;
  2597. wire D_op_beq;
  2598. wire D_op_bge;
  2599. wire D_op_bgeu;
  2600. wire D_op_blt;
  2601. wire D_op_bltu;
  2602. wire D_op_bne;
  2603. wire D_op_br;
  2604. wire D_op_break;
  2605. wire D_op_bret;
  2606. wire D_op_call;
  2607. wire D_op_callr;
  2608. wire D_op_cmpeq;
  2609. wire D_op_cmpeqi;
  2610. wire D_op_cmpge;
  2611. wire D_op_cmpgei;
  2612. wire D_op_cmpgeu;
  2613. wire D_op_cmpgeui;
  2614. wire D_op_cmplt;
  2615. wire D_op_cmplti;
  2616. wire D_op_cmpltu;
  2617. wire D_op_cmpltui;
  2618. wire D_op_cmpne;
  2619. wire D_op_cmpnei;
  2620. wire D_op_crst;
  2621. wire D_op_custom;
  2622. wire D_op_div;
  2623. wire D_op_divu;
  2624. wire D_op_eret;
  2625. wire D_op_flushd;
  2626. wire D_op_flushda;
  2627. wire D_op_flushi;
  2628. wire D_op_flushp;
  2629. wire D_op_hbreak;
  2630. wire D_op_initd;
  2631. wire D_op_initda;
  2632. wire D_op_initi;
  2633. wire D_op_intr;
  2634. wire D_op_jmp;
  2635. wire D_op_jmpi;
  2636. wire D_op_ldb;
  2637. wire D_op_ldbio;
  2638. wire D_op_ldbu;
  2639. wire D_op_ldbuio;
  2640. wire D_op_ldh;
  2641. wire D_op_ldhio;
  2642. wire D_op_ldhu;
  2643. wire D_op_ldhuio;
  2644. wire D_op_ldl;
  2645. wire D_op_ldw;
  2646. wire D_op_ldwio;
  2647. wire D_op_mul;
  2648. wire D_op_muli;
  2649. wire D_op_mulxss;
  2650. wire D_op_mulxsu;
  2651. wire D_op_mulxuu;
  2652. wire D_op_nextpc;
  2653. wire D_op_nor;
  2654. wire D_op_op_rsv02;
  2655. wire D_op_op_rsv09;
  2656. wire D_op_op_rsv10;
  2657. wire D_op_op_rsv17;
  2658. wire D_op_op_rsv18;
  2659. wire D_op_op_rsv25;
  2660. wire D_op_op_rsv26;
  2661. wire D_op_op_rsv33;
  2662. wire D_op_op_rsv34;
  2663. wire D_op_op_rsv41;
  2664. wire D_op_op_rsv42;
  2665. wire D_op_op_rsv49;
  2666. wire D_op_op_rsv57;
  2667. wire D_op_op_rsv61;
  2668. wire D_op_op_rsv62;
  2669. wire D_op_op_rsv63;
  2670. wire D_op_opx_rsv00;
  2671. wire D_op_opx_rsv10;
  2672. wire D_op_opx_rsv15;
  2673. wire D_op_opx_rsv17;
  2674. wire D_op_opx_rsv21;
  2675. wire D_op_opx_rsv25;
  2676. wire D_op_opx_rsv33;
  2677. wire D_op_opx_rsv34;
  2678. wire D_op_opx_rsv35;
  2679. wire D_op_opx_rsv42;
  2680. wire D_op_opx_rsv43;
  2681. wire D_op_opx_rsv44;
  2682. wire D_op_opx_rsv47;
  2683. wire D_op_opx_rsv50;
  2684. wire D_op_opx_rsv51;
  2685. wire D_op_opx_rsv55;
  2686. wire D_op_opx_rsv56;
  2687. wire D_op_opx_rsv60;
  2688. wire D_op_opx_rsv63;
  2689. wire D_op_or;
  2690. wire D_op_orhi;
  2691. wire D_op_ori;
  2692. wire D_op_rdctl;
  2693. wire D_op_rdprs;
  2694. wire D_op_ret;
  2695. wire D_op_rol;
  2696. wire D_op_roli;
  2697. wire D_op_ror;
  2698. wire D_op_sll;
  2699. wire D_op_slli;
  2700. wire D_op_sra;
  2701. wire D_op_srai;
  2702. wire D_op_srl;
  2703. wire D_op_srli;
  2704. wire D_op_stb;
  2705. wire D_op_stbio;
  2706. wire D_op_stc;
  2707. wire D_op_sth;
  2708. wire D_op_sthio;
  2709. wire D_op_stw;
  2710. wire D_op_stwio;
  2711. wire D_op_sub;
  2712. wire D_op_sync;
  2713. wire D_op_trap;
  2714. wire D_op_wrctl;
  2715. wire D_op_wrprs;
  2716. wire D_op_xor;
  2717. wire D_op_xorhi;
  2718. wire D_op_xori;
  2719. reg D_valid;
  2720. wire [ 71: 0] D_vinst;
  2721. wire D_wr_dst_reg;
  2722. wire [ 31: 0] E_alu_result;
  2723. reg E_alu_sub;
  2724. wire [ 32: 0] E_arith_result;
  2725. wire [ 31: 0] E_arith_src1;
  2726. wire [ 31: 0] E_arith_src2;
  2727. wire E_ci_multi_stall;
  2728. wire [ 31: 0] E_ci_result;
  2729. wire E_cmp_result;
  2730. wire [ 31: 0] E_control_rd_data;
  2731. wire E_eq;
  2732. reg E_invert_arith_src_msb;
  2733. wire E_ld_stall;
  2734. wire [ 31: 0] E_logic_result;
  2735. wire E_logic_result_is_0;
  2736. wire E_lt;
  2737. wire [ 19: 0] E_mem_baddr;
  2738. wire [ 3: 0] E_mem_byte_en;
  2739. reg E_new_inst;
  2740. wire E_rf_ecc_recoverable_valid;
  2741. wire E_rf_ecc_unrecoverable_valid;
  2742. wire E_rf_ecc_valid_any;
  2743. reg [ 4: 0] E_shift_rot_cnt;
  2744. wire [ 4: 0] E_shift_rot_cnt_nxt;
  2745. wire E_shift_rot_done;
  2746. wire E_shift_rot_fill_bit;
  2747. reg [ 31: 0] E_shift_rot_result;
  2748. wire [ 31: 0] E_shift_rot_result_nxt;
  2749. wire [ 4: 0] E_shift_rot_shfcnt;
  2750. wire E_shift_rot_stall;
  2751. reg [ 31: 0] E_src1;
  2752. reg [ 31: 0] E_src2;
  2753. wire [ 31: 0] E_st_data;
  2754. wire E_st_stall;
  2755. wire E_stall;
  2756. wire E_valid;
  2757. reg E_valid_from_R;
  2758. wire [ 71: 0] E_vinst;
  2759. wire E_wrctl_bstatus;
  2760. wire E_wrctl_estatus;
  2761. wire E_wrctl_ienable;
  2762. wire E_wrctl_status;
  2763. wire [ 31: 0] F_av_iw;
  2764. wire [ 4: 0] F_av_iw_a;
  2765. wire [ 4: 0] F_av_iw_b;
  2766. wire [ 4: 0] F_av_iw_c;
  2767. wire [ 4: 0] F_av_iw_control_regnum;
  2768. wire [ 7: 0] F_av_iw_custom_n;
  2769. wire F_av_iw_custom_readra;
  2770. wire F_av_iw_custom_readrb;
  2771. wire F_av_iw_custom_writerc;
  2772. wire [ 15: 0] F_av_iw_imm16;
  2773. wire [ 25: 0] F_av_iw_imm26;
  2774. wire [ 4: 0] F_av_iw_imm5;
  2775. wire [ 1: 0] F_av_iw_memsz;
  2776. wire [ 5: 0] F_av_iw_op;
  2777. wire [ 5: 0] F_av_iw_opx;
  2778. wire F_av_mem16;
  2779. wire F_av_mem32;
  2780. wire F_av_mem8;
  2781. wire [ 55: 0] F_inst;
  2782. wire F_is_opx_inst;
  2783. wire [ 31: 0] F_iw;
  2784. wire [ 4: 0] F_iw_a;
  2785. wire [ 4: 0] F_iw_b;
  2786. wire [ 4: 0] F_iw_c;
  2787. wire [ 4: 0] F_iw_control_regnum;
  2788. wire [ 7: 0] F_iw_custom_n;
  2789. wire F_iw_custom_readra;
  2790. wire F_iw_custom_readrb;
  2791. wire F_iw_custom_writerc;
  2792. wire [ 15: 0] F_iw_imm16;
  2793. wire [ 25: 0] F_iw_imm26;
  2794. wire [ 4: 0] F_iw_imm5;
  2795. wire [ 1: 0] F_iw_memsz;
  2796. wire [ 5: 0] F_iw_op;
  2797. wire [ 5: 0] F_iw_opx;
  2798. wire F_mem16;
  2799. wire F_mem32;
  2800. wire F_mem8;
  2801. wire F_op_add;
  2802. wire F_op_addi;
  2803. wire F_op_and;
  2804. wire F_op_andhi;
  2805. wire F_op_andi;
  2806. wire F_op_beq;
  2807. wire F_op_bge;
  2808. wire F_op_bgeu;
  2809. wire F_op_blt;
  2810. wire F_op_bltu;
  2811. wire F_op_bne;
  2812. wire F_op_br;
  2813. wire F_op_break;
  2814. wire F_op_bret;
  2815. wire F_op_call;
  2816. wire F_op_callr;
  2817. wire F_op_cmpeq;
  2818. wire F_op_cmpeqi;
  2819. wire F_op_cmpge;
  2820. wire F_op_cmpgei;
  2821. wire F_op_cmpgeu;
  2822. wire F_op_cmpgeui;
  2823. wire F_op_cmplt;
  2824. wire F_op_cmplti;
  2825. wire F_op_cmpltu;
  2826. wire F_op_cmpltui;
  2827. wire F_op_cmpne;
  2828. wire F_op_cmpnei;
  2829. wire F_op_crst;
  2830. wire F_op_custom;
  2831. wire F_op_div;
  2832. wire F_op_divu;
  2833. wire F_op_eret;
  2834. wire F_op_flushd;
  2835. wire F_op_flushda;
  2836. wire F_op_flushi;
  2837. wire F_op_flushp;
  2838. wire F_op_hbreak;
  2839. wire F_op_initd;
  2840. wire F_op_initda;
  2841. wire F_op_initi;
  2842. wire F_op_intr;
  2843. wire F_op_jmp;
  2844. wire F_op_jmpi;
  2845. wire F_op_ldb;
  2846. wire F_op_ldbio;
  2847. wire F_op_ldbu;
  2848. wire F_op_ldbuio;
  2849. wire F_op_ldh;
  2850. wire F_op_ldhio;
  2851. wire F_op_ldhu;
  2852. wire F_op_ldhuio;
  2853. wire F_op_ldl;
  2854. wire F_op_ldw;
  2855. wire F_op_ldwio;
  2856. wire F_op_mul;
  2857. wire F_op_muli;
  2858. wire F_op_mulxss;
  2859. wire F_op_mulxsu;
  2860. wire F_op_mulxuu;
  2861. wire F_op_nextpc;
  2862. wire F_op_nor;
  2863. wire F_op_op_rsv02;
  2864. wire F_op_op_rsv09;
  2865. wire F_op_op_rsv10;
  2866. wire F_op_op_rsv17;
  2867. wire F_op_op_rsv18;
  2868. wire F_op_op_rsv25;
  2869. wire F_op_op_rsv26;
  2870. wire F_op_op_rsv33;
  2871. wire F_op_op_rsv34;
  2872. wire F_op_op_rsv41;
  2873. wire F_op_op_rsv42;
  2874. wire F_op_op_rsv49;
  2875. wire F_op_op_rsv57;
  2876. wire F_op_op_rsv61;
  2877. wire F_op_op_rsv62;
  2878. wire F_op_op_rsv63;
  2879. wire F_op_opx_rsv00;
  2880. wire F_op_opx_rsv10;
  2881. wire F_op_opx_rsv15;
  2882. wire F_op_opx_rsv17;
  2883. wire F_op_opx_rsv21;
  2884. wire F_op_opx_rsv25;
  2885. wire F_op_opx_rsv33;
  2886. wire F_op_opx_rsv34;
  2887. wire F_op_opx_rsv35;
  2888. wire F_op_opx_rsv42;
  2889. wire F_op_opx_rsv43;
  2890. wire F_op_opx_rsv44;
  2891. wire F_op_opx_rsv47;
  2892. wire F_op_opx_rsv50;
  2893. wire F_op_opx_rsv51;
  2894. wire F_op_opx_rsv55;
  2895. wire F_op_opx_rsv56;
  2896. wire F_op_opx_rsv60;
  2897. wire F_op_opx_rsv63;
  2898. wire F_op_or;
  2899. wire F_op_orhi;
  2900. wire F_op_ori;
  2901. wire F_op_rdctl;
  2902. wire F_op_rdprs;
  2903. wire F_op_ret;
  2904. wire F_op_rol;
  2905. wire F_op_roli;
  2906. wire F_op_ror;
  2907. wire F_op_sll;
  2908. wire F_op_slli;
  2909. wire F_op_sra;
  2910. wire F_op_srai;
  2911. wire F_op_srl;
  2912. wire F_op_srli;
  2913. wire F_op_stb;
  2914. wire F_op_stbio;
  2915. wire F_op_stc;
  2916. wire F_op_sth;
  2917. wire F_op_sthio;
  2918. wire F_op_stw;
  2919. wire F_op_stwio;
  2920. wire F_op_sub;
  2921. wire F_op_sync;
  2922. wire F_op_trap;
  2923. wire F_op_wrctl;
  2924. wire F_op_wrprs;
  2925. wire F_op_xor;
  2926. wire F_op_xorhi;
  2927. wire F_op_xori;
  2928. reg [ 17: 0] F_pc /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
  2929. wire F_pc_en;
  2930. wire [ 17: 0] F_pc_no_crst_nxt;
  2931. wire [ 17: 0] F_pc_nxt;
  2932. wire [ 17: 0] F_pc_plus_one;
  2933. wire [ 1: 0] F_pc_sel_nxt;
  2934. wire [ 19: 0] F_pcb;
  2935. wire [ 19: 0] F_pcb_nxt;
  2936. wire [ 19: 0] F_pcb_plus_four;
  2937. wire F_valid;
  2938. wire [ 71: 0] F_vinst;
  2939. reg [ 1: 0] R_compare_op;
  2940. reg R_ctrl_alu_force_and;
  2941. wire R_ctrl_alu_force_and_nxt;
  2942. reg R_ctrl_alu_force_xor;
  2943. wire R_ctrl_alu_force_xor_nxt;
  2944. reg R_ctrl_alu_signed_comparison;
  2945. wire R_ctrl_alu_signed_comparison_nxt;
  2946. reg R_ctrl_alu_subtract;
  2947. wire R_ctrl_alu_subtract_nxt;
  2948. reg R_ctrl_b_is_dst;
  2949. wire R_ctrl_b_is_dst_nxt;
  2950. reg R_ctrl_br;
  2951. reg R_ctrl_br_cmp;
  2952. wire R_ctrl_br_cmp_nxt;
  2953. wire R_ctrl_br_nxt;
  2954. reg R_ctrl_br_uncond;
  2955. wire R_ctrl_br_uncond_nxt;
  2956. reg R_ctrl_break;
  2957. wire R_ctrl_break_nxt;
  2958. reg R_ctrl_crst;
  2959. wire R_ctrl_crst_nxt;
  2960. reg R_ctrl_custom;
  2961. reg R_ctrl_custom_multi;
  2962. wire R_ctrl_custom_multi_nxt;
  2963. wire R_ctrl_custom_nxt;
  2964. reg R_ctrl_exception;
  2965. wire R_ctrl_exception_nxt;
  2966. reg R_ctrl_force_src2_zero;
  2967. wire R_ctrl_force_src2_zero_nxt;
  2968. reg R_ctrl_hi_imm16;
  2969. wire R_ctrl_hi_imm16_nxt;
  2970. reg R_ctrl_ignore_dst;
  2971. wire R_ctrl_ignore_dst_nxt;
  2972. reg R_ctrl_implicit_dst_eretaddr;
  2973. wire R_ctrl_implicit_dst_eretaddr_nxt;
  2974. reg R_ctrl_implicit_dst_retaddr;
  2975. wire R_ctrl_implicit_dst_retaddr_nxt;
  2976. reg R_ctrl_intr_inst;
  2977. wire R_ctrl_intr_inst_nxt;
  2978. reg R_ctrl_jmp_direct;
  2979. wire R_ctrl_jmp_direct_nxt;
  2980. reg R_ctrl_jmp_indirect;
  2981. wire R_ctrl_jmp_indirect_nxt;
  2982. reg R_ctrl_ld;
  2983. reg R_ctrl_ld_ex;
  2984. wire R_ctrl_ld_ex_nxt;
  2985. reg R_ctrl_ld_io;
  2986. wire R_ctrl_ld_io_nxt;
  2987. reg R_ctrl_ld_non_io;
  2988. wire R_ctrl_ld_non_io_nxt;
  2989. wire R_ctrl_ld_nxt;
  2990. reg R_ctrl_ld_signed;
  2991. wire R_ctrl_ld_signed_nxt;
  2992. reg R_ctrl_ld_st_ex;
  2993. wire R_ctrl_ld_st_ex_nxt;
  2994. reg R_ctrl_logic;
  2995. wire R_ctrl_logic_nxt;
  2996. reg R_ctrl_mem16;
  2997. wire R_ctrl_mem16_nxt;
  2998. reg R_ctrl_mem32;
  2999. wire R_ctrl_mem32_nxt;
  3000. reg R_ctrl_mem8;
  3001. wire R_ctrl_mem8_nxt;
  3002. reg R_ctrl_rd_ctl_reg;
  3003. wire R_ctrl_rd_ctl_reg_nxt;
  3004. reg R_ctrl_retaddr;
  3005. wire R_ctrl_retaddr_nxt;
  3006. reg R_ctrl_rot_right;
  3007. wire R_ctrl_rot_right_nxt;
  3008. reg R_ctrl_set_src2_rem_imm;
  3009. wire R_ctrl_set_src2_rem_imm_nxt;
  3010. reg R_ctrl_shift_logical;
  3011. wire R_ctrl_shift_logical_nxt;
  3012. reg R_ctrl_shift_right_arith;
  3013. wire R_ctrl_shift_right_arith_nxt;
  3014. reg R_ctrl_shift_rot;
  3015. wire R_ctrl_shift_rot_nxt;
  3016. reg R_ctrl_shift_rot_right;
  3017. wire R_ctrl_shift_rot_right_nxt;
  3018. reg R_ctrl_signed_imm12;
  3019. wire R_ctrl_signed_imm12_nxt;
  3020. reg R_ctrl_src2_choose_imm;
  3021. wire R_ctrl_src2_choose_imm_nxt;
  3022. reg R_ctrl_src_imm5_shift_rot;
  3023. wire R_ctrl_src_imm5_shift_rot_nxt;
  3024. reg R_ctrl_st;
  3025. reg R_ctrl_st_ex;
  3026. wire R_ctrl_st_ex_nxt;
  3027. wire R_ctrl_st_nxt;
  3028. reg R_ctrl_uncond_cti_non_br;
  3029. wire R_ctrl_uncond_cti_non_br_nxt;
  3030. reg R_ctrl_unsigned_lo_imm16;
  3031. wire R_ctrl_unsigned_lo_imm16_nxt;
  3032. reg R_ctrl_wrctl_inst;
  3033. wire R_ctrl_wrctl_inst_nxt;
  3034. reg [ 4: 0] R_dst_regnum /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
  3035. wire R_en;
  3036. reg [ 1: 0] R_logic_op;
  3037. wire [ 31: 0] R_rf_a;
  3038. wire [ 31: 0] R_rf_a_q;
  3039. wire [ 31: 0] R_rf_b;
  3040. wire [ 31: 0] R_rf_b_q;
  3041. wire [ 31: 0] R_src1;
  3042. wire [ 31: 0] R_src2;
  3043. wire [ 15: 0] R_src2_hi;
  3044. wire [ 15: 0] R_src2_lo;
  3045. reg R_src2_use_imm;
  3046. wire [ 7: 0] R_stb_data;
  3047. wire [ 15: 0] R_sth_data;
  3048. wire [ 31: 0] R_stw_data;
  3049. reg R_valid;
  3050. wire [ 71: 0] R_vinst;
  3051. reg R_wr_dst_reg;
  3052. reg W1_rf_ecc_recoverable_valid;
  3053. reg [ 31: 0] W_alu_result;
  3054. wire W_br_taken;
  3055. reg W_bstatus_reg;
  3056. wire W_bstatus_reg_inst_nxt;
  3057. wire W_bstatus_reg_nxt;
  3058. reg [ 31: 0] W_cdsr_reg;
  3059. reg W_cmp_result;
  3060. reg [ 31: 0] W_control_rd_data;
  3061. wire [ 31: 0] W_cpuid_reg;
  3062. wire [ 4: 0] W_dst_regnum;
  3063. reg W_estatus_reg;
  3064. wire W_estatus_reg_inst_nxt;
  3065. wire W_estatus_reg_nxt;
  3066. reg [ 31: 0] W_ienable_reg;
  3067. wire [ 31: 0] W_ienable_reg_nxt;
  3068. reg [ 31: 0] W_ipending_reg;
  3069. wire [ 31: 0] W_ipending_reg_nxt;
  3070. wire [ 19: 0] W_mem_baddr;
  3071. reg W_rf_ecc_recoverable_valid;
  3072. reg W_rf_ecc_unrecoverable_valid;
  3073. wire W_rf_ecc_valid_any;
  3074. wire [ 31: 0] W_rf_wr_data;
  3075. wire W_rf_wren;
  3076. wire W_status_reg;
  3077. reg W_status_reg_pie;
  3078. wire W_status_reg_pie_inst_nxt;
  3079. wire W_status_reg_pie_nxt;
  3080. reg W_up_ex_mon_state;
  3081. reg W_valid /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
  3082. wire W_valid_from_M;
  3083. wire [ 71: 0] W_vinst;
  3084. wire [ 31: 0] W_wr_data;
  3085. wire [ 31: 0] W_wr_data_non_zero;
  3086. wire av_fill_bit;
  3087. reg [ 1: 0] av_ld_align_cycle;
  3088. wire [ 1: 0] av_ld_align_cycle_nxt;
  3089. wire av_ld_align_one_more_cycle;
  3090. reg av_ld_aligning_data;
  3091. wire av_ld_aligning_data_nxt;
  3092. reg [ 7: 0] av_ld_byte0_data;
  3093. wire [ 7: 0] av_ld_byte0_data_nxt;
  3094. reg [ 7: 0] av_ld_byte1_data;
  3095. wire av_ld_byte1_data_en;
  3096. wire [ 7: 0] av_ld_byte1_data_nxt;
  3097. reg [ 7: 0] av_ld_byte2_data;
  3098. wire [ 7: 0] av_ld_byte2_data_nxt;
  3099. reg [ 7: 0] av_ld_byte3_data;
  3100. wire [ 7: 0] av_ld_byte3_data_nxt;
  3101. wire [ 31: 0] av_ld_data_aligned_filtered;
  3102. wire [ 31: 0] av_ld_data_aligned_unfiltered;
  3103. wire av_ld_done;
  3104. wire av_ld_extend;
  3105. wire av_ld_getting_data;
  3106. wire av_ld_rshift8;
  3107. reg av_ld_waiting_for_data;
  3108. wire av_ld_waiting_for_data_nxt;
  3109. wire av_sign_bit;
  3110. wire [ 19: 0] d_address;
  3111. reg [ 3: 0] d_byteenable;
  3112. reg d_read;
  3113. wire d_read_nxt;
  3114. reg d_write;
  3115. wire d_write_nxt;
  3116. reg [ 31: 0] d_writedata;
  3117. wire debug_mem_slave_clk;
  3118. wire debug_mem_slave_debugaccess_to_roms;
  3119. wire [ 31: 0] debug_mem_slave_readdata;
  3120. wire debug_mem_slave_reset;
  3121. wire debug_mem_slave_waitrequest;
  3122. wire debug_reset_request;
  3123. wire dummy_ci_port;
  3124. reg hbreak_enabled;
  3125. reg hbreak_pending;
  3126. wire hbreak_pending_nxt;
  3127. wire hbreak_req;
  3128. wire [ 19: 0] i_address;
  3129. reg i_read;
  3130. wire i_read_nxt;
  3131. wire [ 31: 0] iactive;
  3132. wire intr_req;
  3133. wire oci_hbreak_req;
  3134. wire [ 31: 0] oci_ienable;
  3135. wire oci_single_step_mode;
  3136. wire oci_tb_hbreak_req;
  3137. wire test_has_ended;
  3138. reg wait_for_one_post_bret_inst;
  3139. //the_nios2_uc_nios2_cpu_test_bench, which is an e_instance
  3140. nios2_uc_nios2_cpu_test_bench the_nios2_uc_nios2_cpu_test_bench
  3141. (
  3142. .D_iw (D_iw),
  3143. .D_iw_op (D_iw_op),
  3144. .D_iw_opx (D_iw_opx),
  3145. .D_valid (D_valid),
  3146. .E_valid (E_valid),
  3147. .F_pcb (F_pcb),
  3148. .F_valid (F_valid),
  3149. .R_ctrl_ld (R_ctrl_ld),
  3150. .R_ctrl_ld_non_io (R_ctrl_ld_non_io),
  3151. .R_dst_regnum (R_dst_regnum),
  3152. .R_wr_dst_reg (R_wr_dst_reg),
  3153. .W_valid (W_valid),
  3154. .W_vinst (W_vinst),
  3155. .W_wr_data (W_wr_data),
  3156. .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered),
  3157. .av_ld_data_aligned_unfiltered (av_ld_data_aligned_unfiltered),
  3158. .clk (clk),
  3159. .d_address (d_address),
  3160. .d_byteenable (d_byteenable),
  3161. .d_read (d_read),
  3162. .d_write (d_write),
  3163. .i_address (i_address),
  3164. .i_read (i_read),
  3165. .i_readdata (i_readdata),
  3166. .i_waitrequest (i_waitrequest),
  3167. .reset_n (reset_n),
  3168. .test_has_ended (test_has_ended)
  3169. );
  3170. assign F_av_iw_a = F_av_iw[31 : 27];
  3171. assign F_av_iw_b = F_av_iw[26 : 22];
  3172. assign F_av_iw_c = F_av_iw[21 : 17];
  3173. assign F_av_iw_custom_n = F_av_iw[13 : 6];
  3174. assign F_av_iw_custom_readra = F_av_iw[16];
  3175. assign F_av_iw_custom_readrb = F_av_iw[15];
  3176. assign F_av_iw_custom_writerc = F_av_iw[14];
  3177. assign F_av_iw_opx = F_av_iw[16 : 11];
  3178. assign F_av_iw_op = F_av_iw[5 : 0];
  3179. assign F_av_iw_imm5 = F_av_iw[10 : 6];
  3180. assign F_av_iw_imm16 = F_av_iw[21 : 6];
  3181. assign F_av_iw_imm26 = F_av_iw[31 : 6];
  3182. assign F_av_iw_memsz = F_av_iw[4 : 3];
  3183. assign F_av_iw_control_regnum = F_av_iw[10 : 6];
  3184. assign F_av_mem8 = F_av_iw_memsz == 2'b00;
  3185. assign F_av_mem16 = F_av_iw_memsz == 2'b01;
  3186. assign F_av_mem32 = F_av_iw_memsz[1] == 1'b1;
  3187. assign F_iw_a = F_iw[31 : 27];
  3188. assign F_iw_b = F_iw[26 : 22];
  3189. assign F_iw_c = F_iw[21 : 17];
  3190. assign F_iw_custom_n = F_iw[13 : 6];
  3191. assign F_iw_custom_readra = F_iw[16];
  3192. assign F_iw_custom_readrb = F_iw[15];
  3193. assign F_iw_custom_writerc = F_iw[14];
  3194. assign F_iw_opx = F_iw[16 : 11];
  3195. assign F_iw_op = F_iw[5 : 0];
  3196. assign F_iw_imm5 = F_iw[10 : 6];
  3197. assign F_iw_imm16 = F_iw[21 : 6];
  3198. assign F_iw_imm26 = F_iw[31 : 6];
  3199. assign F_iw_memsz = F_iw[4 : 3];
  3200. assign F_iw_control_regnum = F_iw[10 : 6];
  3201. assign F_mem8 = F_iw_memsz == 2'b00;
  3202. assign F_mem16 = F_iw_memsz == 2'b01;
  3203. assign F_mem32 = F_iw_memsz[1] == 1'b1;
  3204. assign D_iw_a = D_iw[31 : 27];
  3205. assign D_iw_b = D_iw[26 : 22];
  3206. assign D_iw_c = D_iw[21 : 17];
  3207. assign D_iw_custom_n = D_iw[13 : 6];
  3208. assign D_iw_custom_readra = D_iw[16];
  3209. assign D_iw_custom_readrb = D_iw[15];
  3210. assign D_iw_custom_writerc = D_iw[14];
  3211. assign D_iw_opx = D_iw[16 : 11];
  3212. assign D_iw_op = D_iw[5 : 0];
  3213. assign D_iw_imm5 = D_iw[10 : 6];
  3214. assign D_iw_imm16 = D_iw[21 : 6];
  3215. assign D_iw_imm26 = D_iw[31 : 6];
  3216. assign D_iw_memsz = D_iw[4 : 3];
  3217. assign D_iw_control_regnum = D_iw[10 : 6];
  3218. assign D_mem8 = D_iw_memsz == 2'b00;
  3219. assign D_mem16 = D_iw_memsz == 2'b01;
  3220. assign D_mem32 = D_iw_memsz[1] == 1'b1;
  3221. assign F_op_call = F_iw_op == 0;
  3222. assign F_op_jmpi = F_iw_op == 1;
  3223. assign F_op_op_rsv02 = F_iw_op == 2;
  3224. assign F_op_ldbu = F_iw_op == 3;
  3225. assign F_op_addi = F_iw_op == 4;
  3226. assign F_op_stb = F_iw_op == 5;
  3227. assign F_op_br = F_iw_op == 6;
  3228. assign F_op_ldb = F_iw_op == 7;
  3229. assign F_op_cmpgei = F_iw_op == 8;
  3230. assign F_op_op_rsv09 = F_iw_op == 9;
  3231. assign F_op_op_rsv10 = F_iw_op == 10;
  3232. assign F_op_ldhu = F_iw_op == 11;
  3233. assign F_op_andi = F_iw_op == 12;
  3234. assign F_op_sth = F_iw_op == 13;
  3235. assign F_op_bge = F_iw_op == 14;
  3236. assign F_op_ldh = F_iw_op == 15;
  3237. assign F_op_cmplti = F_iw_op == 16;
  3238. assign F_op_op_rsv17 = F_iw_op == 17;
  3239. assign F_op_op_rsv18 = F_iw_op == 18;
  3240. assign F_op_initda = F_iw_op == 19;
  3241. assign F_op_ori = F_iw_op == 20;
  3242. assign F_op_stw = F_iw_op == 21;
  3243. assign F_op_blt = F_iw_op == 22;
  3244. assign F_op_ldw = F_iw_op == 23;
  3245. assign F_op_cmpnei = F_iw_op == 24;
  3246. assign F_op_op_rsv25 = F_iw_op == 25;
  3247. assign F_op_op_rsv26 = F_iw_op == 26;
  3248. assign F_op_flushda = F_iw_op == 27;
  3249. assign F_op_xori = F_iw_op == 28;
  3250. assign F_op_stc = F_iw_op == 29;
  3251. assign F_op_bne = F_iw_op == 30;
  3252. assign F_op_ldl = F_iw_op == 31;
  3253. assign F_op_cmpeqi = F_iw_op == 32;
  3254. assign F_op_op_rsv33 = F_iw_op == 33;
  3255. assign F_op_op_rsv34 = F_iw_op == 34;
  3256. assign F_op_ldbuio = F_iw_op == 35;
  3257. assign F_op_muli = F_iw_op == 36;
  3258. assign F_op_stbio = F_iw_op == 37;
  3259. assign F_op_beq = F_iw_op == 38;
  3260. assign F_op_ldbio = F_iw_op == 39;
  3261. assign F_op_cmpgeui = F_iw_op == 40;
  3262. assign F_op_op_rsv41 = F_iw_op == 41;
  3263. assign F_op_op_rsv42 = F_iw_op == 42;
  3264. assign F_op_ldhuio = F_iw_op == 43;
  3265. assign F_op_andhi = F_iw_op == 44;
  3266. assign F_op_sthio = F_iw_op == 45;
  3267. assign F_op_bgeu = F_iw_op == 46;
  3268. assign F_op_ldhio = F_iw_op == 47;
  3269. assign F_op_cmpltui = F_iw_op == 48;
  3270. assign F_op_op_rsv49 = F_iw_op == 49;
  3271. assign F_op_custom = F_iw_op == 50;
  3272. assign F_op_initd = F_iw_op == 51;
  3273. assign F_op_orhi = F_iw_op == 52;
  3274. assign F_op_stwio = F_iw_op == 53;
  3275. assign F_op_bltu = F_iw_op == 54;
  3276. assign F_op_ldwio = F_iw_op == 55;
  3277. assign F_op_rdprs = F_iw_op == 56;
  3278. assign F_op_op_rsv57 = F_iw_op == 57;
  3279. assign F_op_flushd = F_iw_op == 59;
  3280. assign F_op_xorhi = F_iw_op == 60;
  3281. assign F_op_op_rsv61 = F_iw_op == 61;
  3282. assign F_op_op_rsv62 = F_iw_op == 62;
  3283. assign F_op_op_rsv63 = F_iw_op == 63;
  3284. assign F_op_opx_rsv00 = (F_iw_opx == 0) & F_is_opx_inst;
  3285. assign F_op_eret = (F_iw_opx == 1) & F_is_opx_inst;
  3286. assign F_op_roli = (F_iw_opx == 2) & F_is_opx_inst;
  3287. assign F_op_rol = (F_iw_opx == 3) & F_is_opx_inst;
  3288. assign F_op_flushp = (F_iw_opx == 4) & F_is_opx_inst;
  3289. assign F_op_ret = (F_iw_opx == 5) & F_is_opx_inst;
  3290. assign F_op_nor = (F_iw_opx == 6) & F_is_opx_inst;
  3291. assign F_op_mulxuu = (F_iw_opx == 7) & F_is_opx_inst;
  3292. assign F_op_cmpge = (F_iw_opx == 8) & F_is_opx_inst;
  3293. assign F_op_bret = (F_iw_opx == 9) & F_is_opx_inst;
  3294. assign F_op_opx_rsv10 = (F_iw_opx == 10) & F_is_opx_inst;
  3295. assign F_op_ror = (F_iw_opx == 11) & F_is_opx_inst;
  3296. assign F_op_flushi = (F_iw_opx == 12) & F_is_opx_inst;
  3297. assign F_op_jmp = (F_iw_opx == 13) & F_is_opx_inst;
  3298. assign F_op_and = (F_iw_opx == 14) & F_is_opx_inst;
  3299. assign F_op_opx_rsv15 = (F_iw_opx == 15) & F_is_opx_inst;
  3300. assign F_op_cmplt = (F_iw_opx == 16) & F_is_opx_inst;
  3301. assign F_op_opx_rsv17 = (F_iw_opx == 17) & F_is_opx_inst;
  3302. assign F_op_slli = (F_iw_opx == 18) & F_is_opx_inst;
  3303. assign F_op_sll = (F_iw_opx == 19) & F_is_opx_inst;
  3304. assign F_op_wrprs = (F_iw_opx == 20) & F_is_opx_inst;
  3305. assign F_op_opx_rsv21 = (F_iw_opx == 21) & F_is_opx_inst;
  3306. assign F_op_or = (F_iw_opx == 22) & F_is_opx_inst;
  3307. assign F_op_mulxsu = (F_iw_opx == 23) & F_is_opx_inst;
  3308. assign F_op_cmpne = (F_iw_opx == 24) & F_is_opx_inst;
  3309. assign F_op_opx_rsv25 = (F_iw_opx == 25) & F_is_opx_inst;
  3310. assign F_op_srli = (F_iw_opx == 26) & F_is_opx_inst;
  3311. assign F_op_srl = (F_iw_opx == 27) & F_is_opx_inst;
  3312. assign F_op_nextpc = (F_iw_opx == 28) & F_is_opx_inst;
  3313. assign F_op_callr = (F_iw_opx == 29) & F_is_opx_inst;
  3314. assign F_op_xor = (F_iw_opx == 30) & F_is_opx_inst;
  3315. assign F_op_mulxss = (F_iw_opx == 31) & F_is_opx_inst;
  3316. assign F_op_cmpeq = (F_iw_opx == 32) & F_is_opx_inst;
  3317. assign F_op_opx_rsv33 = (F_iw_opx == 33) & F_is_opx_inst;
  3318. assign F_op_opx_rsv34 = (F_iw_opx == 34) & F_is_opx_inst;
  3319. assign F_op_opx_rsv35 = (F_iw_opx == 35) & F_is_opx_inst;
  3320. assign F_op_divu = (F_iw_opx == 36) & F_is_opx_inst;
  3321. assign F_op_div = (F_iw_opx == 37) & F_is_opx_inst;
  3322. assign F_op_rdctl = (F_iw_opx == 38) & F_is_opx_inst;
  3323. assign F_op_mul = (F_iw_opx == 39) & F_is_opx_inst;
  3324. assign F_op_cmpgeu = (F_iw_opx == 40) & F_is_opx_inst;
  3325. assign F_op_initi = (F_iw_opx == 41) & F_is_opx_inst;
  3326. assign F_op_opx_rsv42 = (F_iw_opx == 42) & F_is_opx_inst;
  3327. assign F_op_opx_rsv43 = (F_iw_opx == 43) & F_is_opx_inst;
  3328. assign F_op_opx_rsv44 = (F_iw_opx == 44) & F_is_opx_inst;
  3329. assign F_op_trap = (F_iw_opx == 45) & F_is_opx_inst;
  3330. assign F_op_wrctl = (F_iw_opx == 46) & F_is_opx_inst;
  3331. assign F_op_opx_rsv47 = (F_iw_opx == 47) & F_is_opx_inst;
  3332. assign F_op_cmpltu = (F_iw_opx == 48) & F_is_opx_inst;
  3333. assign F_op_add = (F_iw_opx == 49) & F_is_opx_inst;
  3334. assign F_op_opx_rsv50 = (F_iw_opx == 50) & F_is_opx_inst;
  3335. assign F_op_opx_rsv51 = (F_iw_opx == 51) & F_is_opx_inst;
  3336. assign F_op_break = (F_iw_opx == 52) & F_is_opx_inst;
  3337. assign F_op_hbreak = (F_iw_opx == 53) & F_is_opx_inst;
  3338. assign F_op_sync = (F_iw_opx == 54) & F_is_opx_inst;
  3339. assign F_op_opx_rsv55 = (F_iw_opx == 55) & F_is_opx_inst;
  3340. assign F_op_opx_rsv56 = (F_iw_opx == 56) & F_is_opx_inst;
  3341. assign F_op_sub = (F_iw_opx == 57) & F_is_opx_inst;
  3342. assign F_op_srai = (F_iw_opx == 58) & F_is_opx_inst;
  3343. assign F_op_sra = (F_iw_opx == 59) & F_is_opx_inst;
  3344. assign F_op_opx_rsv60 = (F_iw_opx == 60) & F_is_opx_inst;
  3345. assign F_op_intr = (F_iw_opx == 61) & F_is_opx_inst;
  3346. assign F_op_crst = (F_iw_opx == 62) & F_is_opx_inst;
  3347. assign F_op_opx_rsv63 = (F_iw_opx == 63) & F_is_opx_inst;
  3348. assign F_is_opx_inst = F_iw_op == 58;
  3349. assign D_op_call = D_iw_op == 0;
  3350. assign D_op_jmpi = D_iw_op == 1;
  3351. assign D_op_op_rsv02 = D_iw_op == 2;
  3352. assign D_op_ldbu = D_iw_op == 3;
  3353. assign D_op_addi = D_iw_op == 4;
  3354. assign D_op_stb = D_iw_op == 5;
  3355. assign D_op_br = D_iw_op == 6;
  3356. assign D_op_ldb = D_iw_op == 7;
  3357. assign D_op_cmpgei = D_iw_op == 8;
  3358. assign D_op_op_rsv09 = D_iw_op == 9;
  3359. assign D_op_op_rsv10 = D_iw_op == 10;
  3360. assign D_op_ldhu = D_iw_op == 11;
  3361. assign D_op_andi = D_iw_op == 12;
  3362. assign D_op_sth = D_iw_op == 13;
  3363. assign D_op_bge = D_iw_op == 14;
  3364. assign D_op_ldh = D_iw_op == 15;
  3365. assign D_op_cmplti = D_iw_op == 16;
  3366. assign D_op_op_rsv17 = D_iw_op == 17;
  3367. assign D_op_op_rsv18 = D_iw_op == 18;
  3368. assign D_op_initda = D_iw_op == 19;
  3369. assign D_op_ori = D_iw_op == 20;
  3370. assign D_op_stw = D_iw_op == 21;
  3371. assign D_op_blt = D_iw_op == 22;
  3372. assign D_op_ldw = D_iw_op == 23;
  3373. assign D_op_cmpnei = D_iw_op == 24;
  3374. assign D_op_op_rsv25 = D_iw_op == 25;
  3375. assign D_op_op_rsv26 = D_iw_op == 26;
  3376. assign D_op_flushda = D_iw_op == 27;
  3377. assign D_op_xori = D_iw_op == 28;
  3378. assign D_op_stc = D_iw_op == 29;
  3379. assign D_op_bne = D_iw_op == 30;
  3380. assign D_op_ldl = D_iw_op == 31;
  3381. assign D_op_cmpeqi = D_iw_op == 32;
  3382. assign D_op_op_rsv33 = D_iw_op == 33;
  3383. assign D_op_op_rsv34 = D_iw_op == 34;
  3384. assign D_op_ldbuio = D_iw_op == 35;
  3385. assign D_op_muli = D_iw_op == 36;
  3386. assign D_op_stbio = D_iw_op == 37;
  3387. assign D_op_beq = D_iw_op == 38;
  3388. assign D_op_ldbio = D_iw_op == 39;
  3389. assign D_op_cmpgeui = D_iw_op == 40;
  3390. assign D_op_op_rsv41 = D_iw_op == 41;
  3391. assign D_op_op_rsv42 = D_iw_op == 42;
  3392. assign D_op_ldhuio = D_iw_op == 43;
  3393. assign D_op_andhi = D_iw_op == 44;
  3394. assign D_op_sthio = D_iw_op == 45;
  3395. assign D_op_bgeu = D_iw_op == 46;
  3396. assign D_op_ldhio = D_iw_op == 47;
  3397. assign D_op_cmpltui = D_iw_op == 48;
  3398. assign D_op_op_rsv49 = D_iw_op == 49;
  3399. assign D_op_custom = D_iw_op == 50;
  3400. assign D_op_initd = D_iw_op == 51;
  3401. assign D_op_orhi = D_iw_op == 52;
  3402. assign D_op_stwio = D_iw_op == 53;
  3403. assign D_op_bltu = D_iw_op == 54;
  3404. assign D_op_ldwio = D_iw_op == 55;
  3405. assign D_op_rdprs = D_iw_op == 56;
  3406. assign D_op_op_rsv57 = D_iw_op == 57;
  3407. assign D_op_flushd = D_iw_op == 59;
  3408. assign D_op_xorhi = D_iw_op == 60;
  3409. assign D_op_op_rsv61 = D_iw_op == 61;
  3410. assign D_op_op_rsv62 = D_iw_op == 62;
  3411. assign D_op_op_rsv63 = D_iw_op == 63;
  3412. assign D_op_opx_rsv00 = (D_iw_opx == 0) & D_is_opx_inst;
  3413. assign D_op_eret = (D_iw_opx == 1) & D_is_opx_inst;
  3414. assign D_op_roli = (D_iw_opx == 2) & D_is_opx_inst;
  3415. assign D_op_rol = (D_iw_opx == 3) & D_is_opx_inst;
  3416. assign D_op_flushp = (D_iw_opx == 4) & D_is_opx_inst;
  3417. assign D_op_ret = (D_iw_opx == 5) & D_is_opx_inst;
  3418. assign D_op_nor = (D_iw_opx == 6) & D_is_opx_inst;
  3419. assign D_op_mulxuu = (D_iw_opx == 7) & D_is_opx_inst;
  3420. assign D_op_cmpge = (D_iw_opx == 8) & D_is_opx_inst;
  3421. assign D_op_bret = (D_iw_opx == 9) & D_is_opx_inst;
  3422. assign D_op_opx_rsv10 = (D_iw_opx == 10) & D_is_opx_inst;
  3423. assign D_op_ror = (D_iw_opx == 11) & D_is_opx_inst;
  3424. assign D_op_flushi = (D_iw_opx == 12) & D_is_opx_inst;
  3425. assign D_op_jmp = (D_iw_opx == 13) & D_is_opx_inst;
  3426. assign D_op_and = (D_iw_opx == 14) & D_is_opx_inst;
  3427. assign D_op_opx_rsv15 = (D_iw_opx == 15) & D_is_opx_inst;
  3428. assign D_op_cmplt = (D_iw_opx == 16) & D_is_opx_inst;
  3429. assign D_op_opx_rsv17 = (D_iw_opx == 17) & D_is_opx_inst;
  3430. assign D_op_slli = (D_iw_opx == 18) & D_is_opx_inst;
  3431. assign D_op_sll = (D_iw_opx == 19) & D_is_opx_inst;
  3432. assign D_op_wrprs = (D_iw_opx == 20) & D_is_opx_inst;
  3433. assign D_op_opx_rsv21 = (D_iw_opx == 21) & D_is_opx_inst;
  3434. assign D_op_or = (D_iw_opx == 22) & D_is_opx_inst;
  3435. assign D_op_mulxsu = (D_iw_opx == 23) & D_is_opx_inst;
  3436. assign D_op_cmpne = (D_iw_opx == 24) & D_is_opx_inst;
  3437. assign D_op_opx_rsv25 = (D_iw_opx == 25) & D_is_opx_inst;
  3438. assign D_op_srli = (D_iw_opx == 26) & D_is_opx_inst;
  3439. assign D_op_srl = (D_iw_opx == 27) & D_is_opx_inst;
  3440. assign D_op_nextpc = (D_iw_opx == 28) & D_is_opx_inst;
  3441. assign D_op_callr = (D_iw_opx == 29) & D_is_opx_inst;
  3442. assign D_op_xor = (D_iw_opx == 30) & D_is_opx_inst;
  3443. assign D_op_mulxss = (D_iw_opx == 31) & D_is_opx_inst;
  3444. assign D_op_cmpeq = (D_iw_opx == 32) & D_is_opx_inst;
  3445. assign D_op_opx_rsv33 = (D_iw_opx == 33) & D_is_opx_inst;
  3446. assign D_op_opx_rsv34 = (D_iw_opx == 34) & D_is_opx_inst;
  3447. assign D_op_opx_rsv35 = (D_iw_opx == 35) & D_is_opx_inst;
  3448. assign D_op_divu = (D_iw_opx == 36) & D_is_opx_inst;
  3449. assign D_op_div = (D_iw_opx == 37) & D_is_opx_inst;
  3450. assign D_op_rdctl = (D_iw_opx == 38) & D_is_opx_inst;
  3451. assign D_op_mul = (D_iw_opx == 39) & D_is_opx_inst;
  3452. assign D_op_cmpgeu = (D_iw_opx == 40) & D_is_opx_inst;
  3453. assign D_op_initi = (D_iw_opx == 41) & D_is_opx_inst;
  3454. assign D_op_opx_rsv42 = (D_iw_opx == 42) & D_is_opx_inst;
  3455. assign D_op_opx_rsv43 = (D_iw_opx == 43) & D_is_opx_inst;
  3456. assign D_op_opx_rsv44 = (D_iw_opx == 44) & D_is_opx_inst;
  3457. assign D_op_trap = (D_iw_opx == 45) & D_is_opx_inst;
  3458. assign D_op_wrctl = (D_iw_opx == 46) & D_is_opx_inst;
  3459. assign D_op_opx_rsv47 = (D_iw_opx == 47) & D_is_opx_inst;
  3460. assign D_op_cmpltu = (D_iw_opx == 48) & D_is_opx_inst;
  3461. assign D_op_add = (D_iw_opx == 49) & D_is_opx_inst;
  3462. assign D_op_opx_rsv50 = (D_iw_opx == 50) & D_is_opx_inst;
  3463. assign D_op_opx_rsv51 = (D_iw_opx == 51) & D_is_opx_inst;
  3464. assign D_op_break = (D_iw_opx == 52) & D_is_opx_inst;
  3465. assign D_op_hbreak = (D_iw_opx == 53) & D_is_opx_inst;
  3466. assign D_op_sync = (D_iw_opx == 54) & D_is_opx_inst;
  3467. assign D_op_opx_rsv55 = (D_iw_opx == 55) & D_is_opx_inst;
  3468. assign D_op_opx_rsv56 = (D_iw_opx == 56) & D_is_opx_inst;
  3469. assign D_op_sub = (D_iw_opx == 57) & D_is_opx_inst;
  3470. assign D_op_srai = (D_iw_opx == 58) & D_is_opx_inst;
  3471. assign D_op_sra = (D_iw_opx == 59) & D_is_opx_inst;
  3472. assign D_op_opx_rsv60 = (D_iw_opx == 60) & D_is_opx_inst;
  3473. assign D_op_intr = (D_iw_opx == 61) & D_is_opx_inst;
  3474. assign D_op_crst = (D_iw_opx == 62) & D_is_opx_inst;
  3475. assign D_op_opx_rsv63 = (D_iw_opx == 63) & D_is_opx_inst;
  3476. assign D_is_opx_inst = D_iw_op == 58;
  3477. assign R_en = 1'b1;
  3478. assign E_ci_result = 0;
  3479. //custom_instruction_master, which is an e_custom_instruction_master
  3480. assign dummy_ci_port = 1'b0;
  3481. assign E_ci_multi_stall = 1'b0;
  3482. assign iactive = irq[31 : 0] & 32'b00000000000000000000000000000001;
  3483. assign F_pc_sel_nxt = (R_ctrl_exception | W_rf_ecc_unrecoverable_valid) ? 2'b00 :
  3484. R_ctrl_break ? 2'b01 :
  3485. (W_br_taken | R_ctrl_uncond_cti_non_br) ? 2'b10 :
  3486. 2'b11;
  3487. assign F_pc_no_crst_nxt = (F_pc_sel_nxt == 2'b00)? 65544 :
  3488. (F_pc_sel_nxt == 2'b01)? 131592 :
  3489. (F_pc_sel_nxt == 2'b10)? E_arith_result[19 : 2] :
  3490. F_pc_plus_one;
  3491. assign F_pc_nxt = F_pc_no_crst_nxt;
  3492. assign F_pcb_nxt = {F_pc_nxt, 2'b00};
  3493. assign F_pc_en = W_valid | W_rf_ecc_unrecoverable_valid;
  3494. assign F_pc_plus_one = F_pc + 1;
  3495. always @(posedge clk or negedge reset_n)
  3496. begin
  3497. if (reset_n == 0)
  3498. F_pc <= 65536;
  3499. else if (F_pc_en)
  3500. F_pc <= F_pc_nxt;
  3501. end
  3502. assign F_pcb = {F_pc, 2'b00};
  3503. assign F_pcb_plus_four = {F_pc_plus_one, 2'b00};
  3504. assign F_valid = i_read & ~i_waitrequest;
  3505. assign i_read_nxt = W_valid | W_rf_ecc_unrecoverable_valid | (i_read & i_waitrequest);
  3506. assign i_address = {F_pc, 2'b00};
  3507. always @(posedge clk or negedge reset_n)
  3508. begin
  3509. if (reset_n == 0)
  3510. i_read <= 1'b1;
  3511. else
  3512. i_read <= i_read_nxt;
  3513. end
  3514. assign oci_tb_hbreak_req = oci_hbreak_req;
  3515. assign hbreak_req = (oci_tb_hbreak_req | hbreak_pending) & hbreak_enabled & ~(wait_for_one_post_bret_inst & ~W_valid);
  3516. assign hbreak_pending_nxt = hbreak_pending ? hbreak_enabled
  3517. : hbreak_req;
  3518. always @(posedge clk or negedge reset_n)
  3519. begin
  3520. if (reset_n == 0)
  3521. wait_for_one_post_bret_inst <= 1'b0;
  3522. else
  3523. wait_for_one_post_bret_inst <= (~hbreak_enabled & oci_single_step_mode) ? 1'b1 : (F_valid | ~oci_single_step_mode) ? 1'b0 : wait_for_one_post_bret_inst;
  3524. end
  3525. always @(posedge clk or negedge reset_n)
  3526. begin
  3527. if (reset_n == 0)
  3528. hbreak_pending <= 1'b0;
  3529. else
  3530. hbreak_pending <= hbreak_pending_nxt;
  3531. end
  3532. assign intr_req = W_status_reg_pie & (W_ipending_reg != 0);
  3533. assign F_av_iw = i_readdata;
  3534. assign F_iw = hbreak_req ? 4040762 :
  3535. 1'b0 ? 127034 :
  3536. intr_req ? 3926074 :
  3537. F_av_iw;
  3538. always @(posedge clk or negedge reset_n)
  3539. begin
  3540. if (reset_n == 0)
  3541. D_iw <= 0;
  3542. else if (F_valid)
  3543. D_iw <= F_iw;
  3544. end
  3545. always @(posedge clk or negedge reset_n)
  3546. begin
  3547. if (reset_n == 0)
  3548. D_valid <= 0;
  3549. else
  3550. D_valid <= F_valid | W1_rf_ecc_recoverable_valid;
  3551. end
  3552. assign D_dst_regnum = D_ctrl_implicit_dst_retaddr ? 5'd31 :
  3553. D_ctrl_implicit_dst_eretaddr ? 5'd29 :
  3554. D_ctrl_b_is_dst ? D_iw_b :
  3555. D_iw_c;
  3556. assign D_wr_dst_reg = (D_dst_regnum != 0) & ~D_ctrl_ignore_dst;
  3557. assign D_logic_op_raw = D_is_opx_inst ? D_iw_opx[4 : 3] :
  3558. D_iw_op[4 : 3];
  3559. assign D_logic_op = D_ctrl_alu_force_xor ? 2'b11 :
  3560. D_ctrl_alu_force_and ? 2'b01 :
  3561. D_logic_op_raw;
  3562. assign D_compare_op = D_is_opx_inst ? D_iw_opx[4 : 3] :
  3563. D_iw_op[4 : 3];
  3564. assign D_jmp_direct_target_waddr = D_iw[31 : 6];
  3565. always @(posedge clk or negedge reset_n)
  3566. begin
  3567. if (reset_n == 0)
  3568. R_valid <= 0;
  3569. else
  3570. R_valid <= D_valid;
  3571. end
  3572. always @(posedge clk or negedge reset_n)
  3573. begin
  3574. if (reset_n == 0)
  3575. R_wr_dst_reg <= 0;
  3576. else
  3577. R_wr_dst_reg <= D_wr_dst_reg;
  3578. end
  3579. always @(posedge clk or negedge reset_n)
  3580. begin
  3581. if (reset_n == 0)
  3582. R_dst_regnum <= 0;
  3583. else
  3584. R_dst_regnum <= D_dst_regnum;
  3585. end
  3586. always @(posedge clk or negedge reset_n)
  3587. begin
  3588. if (reset_n == 0)
  3589. R_logic_op <= 0;
  3590. else
  3591. R_logic_op <= D_logic_op;
  3592. end
  3593. always @(posedge clk or negedge reset_n)
  3594. begin
  3595. if (reset_n == 0)
  3596. R_compare_op <= 0;
  3597. else
  3598. R_compare_op <= D_compare_op;
  3599. end
  3600. always @(posedge clk or negedge reset_n)
  3601. begin
  3602. if (reset_n == 0)
  3603. R_src2_use_imm <= 0;
  3604. else
  3605. R_src2_use_imm <= D_ctrl_src2_choose_imm | (D_ctrl_br & R_valid);
  3606. end
  3607. assign E_rf_ecc_valid_any = E_rf_ecc_recoverable_valid|E_rf_ecc_unrecoverable_valid;
  3608. assign W_rf_ecc_valid_any = W_rf_ecc_recoverable_valid|W_rf_ecc_unrecoverable_valid;
  3609. assign E_rf_ecc_recoverable_valid = 1'b0;
  3610. assign E_rf_ecc_unrecoverable_valid = 1'b0;
  3611. assign W_dst_regnum = R_dst_regnum;
  3612. always @(posedge clk or negedge reset_n)
  3613. begin
  3614. if (reset_n == 0)
  3615. W_rf_ecc_recoverable_valid <= 0;
  3616. else
  3617. W_rf_ecc_recoverable_valid <= E_rf_ecc_recoverable_valid;
  3618. end
  3619. always @(posedge clk or negedge reset_n)
  3620. begin
  3621. if (reset_n == 0)
  3622. W1_rf_ecc_recoverable_valid <= 0;
  3623. else
  3624. W1_rf_ecc_recoverable_valid <= W_rf_ecc_recoverable_valid;
  3625. end
  3626. always @(posedge clk or negedge reset_n)
  3627. begin
  3628. if (reset_n == 0)
  3629. W_rf_ecc_unrecoverable_valid <= 0;
  3630. else
  3631. W_rf_ecc_unrecoverable_valid <= E_rf_ecc_unrecoverable_valid & ~E_rf_ecc_recoverable_valid;
  3632. end
  3633. assign R_rf_a = R_rf_a_q;
  3634. assign R_rf_b = R_rf_b_q;
  3635. assign W_rf_wren = (R_wr_dst_reg & W_valid) | W_rf_ecc_valid_any | ~reset_n;
  3636. assign W_rf_wr_data = R_ctrl_ld ? av_ld_data_aligned_filtered : W_wr_data;
  3637. //nios2_uc_nios2_cpu_register_bank_a, which is an nios_sdp_ram
  3638. nios2_uc_nios2_cpu_register_bank_a_module nios2_uc_nios2_cpu_register_bank_a
  3639. (
  3640. .clock (clk),
  3641. .data (W_rf_wr_data),
  3642. .q (R_rf_a_q),
  3643. .rdaddress (D_iw_a),
  3644. .wraddress (W_dst_regnum),
  3645. .wren (W_rf_wren)
  3646. );
  3647. //synthesis translate_off
  3648. `ifdef NO_PLI
  3649. defparam nios2_uc_nios2_cpu_register_bank_a.lpm_file = "nios2_uc_nios2_cpu_rf_ram_a.dat";
  3650. `else
  3651. defparam nios2_uc_nios2_cpu_register_bank_a.lpm_file = "nios2_uc_nios2_cpu_rf_ram_a.hex";
  3652. `endif
  3653. //synthesis translate_on
  3654. //nios2_uc_nios2_cpu_register_bank_b, which is an nios_sdp_ram
  3655. nios2_uc_nios2_cpu_register_bank_b_module nios2_uc_nios2_cpu_register_bank_b
  3656. (
  3657. .clock (clk),
  3658. .data (W_rf_wr_data),
  3659. .q (R_rf_b_q),
  3660. .rdaddress (D_iw_b),
  3661. .wraddress (W_dst_regnum),
  3662. .wren (W_rf_wren)
  3663. );
  3664. //synthesis translate_off
  3665. `ifdef NO_PLI
  3666. defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ram_b.dat";
  3667. `else
  3668. defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ram_b.hex";
  3669. `endif
  3670. //synthesis translate_on
  3671. assign R_src1 = (((R_ctrl_br & E_valid_from_R) | (R_ctrl_retaddr & R_valid)))? {F_pc_plus_one, 2'b00} :
  3672. ((R_ctrl_jmp_direct & E_valid_from_R))? {D_jmp_direct_target_waddr, 2'b00} :
  3673. R_rf_a;
  3674. assign R_src2_lo = ((R_ctrl_force_src2_zero|R_ctrl_hi_imm16))? {16 {D_ctrl_set_src2_rem_imm}} :
  3675. (R_ctrl_src_imm5_shift_rot)? {{11 {1'b0}},D_iw_imm5} :
  3676. (R_src2_use_imm)? D_iw_imm16 :
  3677. R_rf_b[15 : 0];
  3678. assign R_src2_hi = ((R_ctrl_force_src2_zero|R_ctrl_unsigned_lo_imm16))? {16 {D_ctrl_set_src2_rem_imm}} :
  3679. (R_ctrl_hi_imm16)? D_iw_imm16 :
  3680. (R_src2_use_imm)? {16 {D_iw_imm16[15]}} :
  3681. R_rf_b[31 : 16];
  3682. assign R_src2 = {R_src2_hi, R_src2_lo};
  3683. always @(posedge clk or negedge reset_n)
  3684. begin
  3685. if (reset_n == 0)
  3686. E_valid_from_R <= 0;
  3687. else
  3688. E_valid_from_R <= R_valid | E_stall;
  3689. end
  3690. always @(posedge clk or negedge reset_n)
  3691. begin
  3692. if (reset_n == 0)
  3693. E_new_inst <= 0;
  3694. else
  3695. E_new_inst <= R_valid;
  3696. end
  3697. always @(posedge clk or negedge reset_n)
  3698. begin
  3699. if (reset_n == 0)
  3700. E_src1 <= 0;
  3701. else
  3702. E_src1 <= R_src1;
  3703. end
  3704. always @(posedge clk or negedge reset_n)
  3705. begin
  3706. if (reset_n == 0)
  3707. E_src2 <= 0;
  3708. else
  3709. E_src2 <= R_src2;
  3710. end
  3711. always @(posedge clk or negedge reset_n)
  3712. begin
  3713. if (reset_n == 0)
  3714. E_invert_arith_src_msb <= 0;
  3715. else
  3716. E_invert_arith_src_msb <= D_ctrl_alu_signed_comparison & R_valid;
  3717. end
  3718. always @(posedge clk or negedge reset_n)
  3719. begin
  3720. if (reset_n == 0)
  3721. E_alu_sub <= 0;
  3722. else
  3723. E_alu_sub <= D_ctrl_alu_subtract & R_valid;
  3724. end
  3725. assign E_valid = E_valid_from_R & ~E_rf_ecc_valid_any;
  3726. assign E_stall = (E_shift_rot_stall | E_ld_stall | E_st_stall | E_ci_multi_stall) & ~(E_rf_ecc_valid_any|W_rf_ecc_valid_any|W1_rf_ecc_recoverable_valid);
  3727. assign E_arith_src1 = { E_src1[31] ^ E_invert_arith_src_msb,
  3728. E_src1[30 : 0]};
  3729. assign E_arith_src2 = { E_src2[31] ^ E_invert_arith_src_msb,
  3730. E_src2[30 : 0]};
  3731. assign E_arith_result = E_alu_sub ?
  3732. E_arith_src1 - E_arith_src2 :
  3733. E_arith_src1 + E_arith_src2;
  3734. assign E_mem_baddr = E_arith_result[19 : 0];
  3735. assign E_logic_result = (R_logic_op == 2'b00)? (~(E_src1 | E_src2)) :
  3736. (R_logic_op == 2'b01)? (E_src1 & E_src2) :
  3737. (R_logic_op == 2'b10)? (E_src1 | E_src2) :
  3738. (E_src1 ^ E_src2);
  3739. assign E_logic_result_is_0 = E_logic_result == 0;
  3740. assign E_eq = E_logic_result_is_0;
  3741. assign E_lt = E_arith_result[32];
  3742. assign E_cmp_result = (R_compare_op == 2'b00)? E_eq :
  3743. (R_compare_op == 2'b01)? ~E_lt :
  3744. (R_compare_op == 2'b10)? E_lt :
  3745. ~E_eq;
  3746. assign E_shift_rot_shfcnt = E_src2[4 : 0];
  3747. assign E_shift_rot_cnt_nxt = E_new_inst ? E_shift_rot_shfcnt : E_shift_rot_cnt-1;
  3748. assign E_shift_rot_done = (E_shift_rot_cnt == 0) & ~E_new_inst;
  3749. assign E_shift_rot_stall = R_ctrl_shift_rot & E_valid & ~E_shift_rot_done;
  3750. assign E_shift_rot_fill_bit = R_ctrl_shift_logical ? 1'b0 :
  3751. (R_ctrl_rot_right ? E_shift_rot_result[0] :
  3752. E_shift_rot_result[31]);
  3753. assign E_shift_rot_result_nxt = (E_new_inst)? E_src1 :
  3754. (R_ctrl_shift_rot_right)? {E_shift_rot_fill_bit, E_shift_rot_result[31 : 1]} :
  3755. {E_shift_rot_result[30 : 0], E_shift_rot_fill_bit};
  3756. always @(posedge clk or negedge reset_n)
  3757. begin
  3758. if (reset_n == 0)
  3759. E_shift_rot_result <= 0;
  3760. else
  3761. E_shift_rot_result <= E_shift_rot_result_nxt;
  3762. end
  3763. always @(posedge clk or negedge reset_n)
  3764. begin
  3765. if (reset_n == 0)
  3766. E_shift_rot_cnt <= 0;
  3767. else
  3768. E_shift_rot_cnt <= E_shift_rot_cnt_nxt;
  3769. end
  3770. assign E_control_rd_data = (D_iw_control_regnum == 5'd0)? W_status_reg :
  3771. (D_iw_control_regnum == 5'd1)? W_estatus_reg :
  3772. (D_iw_control_regnum == 5'd2)? W_bstatus_reg :
  3773. (D_iw_control_regnum == 5'd3)? W_ienable_reg :
  3774. (D_iw_control_regnum == 5'd4)? W_ipending_reg :
  3775. (D_iw_control_regnum == 5'd5)? W_cpuid_reg :
  3776. W_cdsr_reg;
  3777. assign E_alu_result = ((R_ctrl_br_cmp | R_ctrl_rd_ctl_reg))? 0 :
  3778. (R_ctrl_shift_rot)? E_shift_rot_result :
  3779. (R_ctrl_logic)? E_logic_result :
  3780. (R_ctrl_custom)? E_ci_result :
  3781. E_arith_result;
  3782. assign R_sth_data = R_rf_b[15 : 0];
  3783. assign R_stw_data = R_rf_b[31 : 0];
  3784. assign R_stb_data = R_rf_b[7 : 0];
  3785. assign E_st_data = (D_ctrl_mem8)? {R_stb_data, R_stb_data, R_stb_data, R_stb_data} :
  3786. (D_ctrl_mem16)? {R_sth_data, R_sth_data} :
  3787. R_stw_data;
  3788. assign E_mem_byte_en = ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b01, 2'b00})? 4'b0001 :
  3789. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b01, 2'b01})? 4'b0010 :
  3790. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b01, 2'b10})? 4'b0100 :
  3791. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b01, 2'b11})? 4'b1000 :
  3792. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b10, 2'b00})? 4'b0011 :
  3793. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b10, 2'b01})? 4'b0011 :
  3794. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b10, 2'b10})? 4'b1100 :
  3795. ({D_ctrl_mem16, D_ctrl_mem8, E_mem_baddr[1 : 0]} == {2'b10, 2'b11})? 4'b1100 :
  3796. 4'b1111;
  3797. assign d_read_nxt = (R_ctrl_ld & E_new_inst & ~E_rf_ecc_valid_any) | (d_read & d_waitrequest);
  3798. assign E_ld_stall = R_ctrl_ld & ((E_valid & ~av_ld_done) | E_new_inst);
  3799. assign d_write_nxt = ((R_ctrl_st & (~R_ctrl_st_ex | W_up_ex_mon_state)) & E_new_inst & ~E_rf_ecc_valid_any) | (d_write & d_waitrequest);
  3800. assign E_st_stall = d_write_nxt;
  3801. assign d_address = W_mem_baddr;
  3802. assign av_ld_getting_data = d_read & ~d_waitrequest;
  3803. always @(posedge clk or negedge reset_n)
  3804. begin
  3805. if (reset_n == 0)
  3806. d_read <= 0;
  3807. else
  3808. d_read <= d_read_nxt;
  3809. end
  3810. always @(posedge clk or negedge reset_n)
  3811. begin
  3812. if (reset_n == 0)
  3813. d_writedata <= 0;
  3814. else
  3815. d_writedata <= E_st_data;
  3816. end
  3817. always @(posedge clk or negedge reset_n)
  3818. begin
  3819. if (reset_n == 0)
  3820. d_byteenable <= 0;
  3821. else
  3822. d_byteenable <= E_mem_byte_en;
  3823. end
  3824. assign av_ld_align_cycle_nxt = av_ld_getting_data ? 0 : (av_ld_align_cycle+1);
  3825. assign av_ld_align_one_more_cycle = av_ld_align_cycle == (D_ctrl_mem16 ? 2 : 3);
  3826. assign av_ld_aligning_data_nxt = av_ld_aligning_data ?
  3827. ~av_ld_align_one_more_cycle :
  3828. (~D_ctrl_mem32 & av_ld_getting_data);
  3829. assign av_ld_waiting_for_data_nxt = av_ld_waiting_for_data ?
  3830. ~av_ld_getting_data :
  3831. (R_ctrl_ld & E_new_inst);
  3832. assign av_ld_done = ~av_ld_waiting_for_data_nxt & (D_ctrl_mem32 | ~av_ld_aligning_data_nxt);
  3833. assign av_ld_rshift8 = av_ld_aligning_data &
  3834. (av_ld_align_cycle < (W_mem_baddr[1 : 0]));
  3835. assign av_ld_extend = av_ld_aligning_data;
  3836. assign av_ld_byte0_data_nxt = av_ld_rshift8 ? av_ld_byte1_data :
  3837. av_ld_extend ? av_ld_byte0_data :d_readdata[7 : 0];
  3838. assign av_ld_byte1_data_nxt = av_ld_rshift8 ? av_ld_byte2_data :
  3839. av_ld_extend ? {8 {av_fill_bit}} :d_readdata[15 : 8];
  3840. assign av_ld_byte2_data_nxt = av_ld_rshift8 ? av_ld_byte3_data :
  3841. av_ld_extend ? {8 {av_fill_bit}} :d_readdata[23 : 16];
  3842. assign av_ld_byte3_data_nxt = av_ld_rshift8 ? av_ld_byte3_data :
  3843. av_ld_extend ? {8 {av_fill_bit}} :d_readdata[31 : 24];
  3844. assign av_ld_byte1_data_en = ~(av_ld_extend & D_ctrl_mem16 & ~av_ld_rshift8);
  3845. assign av_ld_data_aligned_unfiltered = {av_ld_byte3_data, av_ld_byte2_data,
  3846. av_ld_byte1_data, av_ld_byte0_data};
  3847. assign av_sign_bit = D_ctrl_mem16 ? av_ld_byte1_data[7] : av_ld_byte0_data[7];
  3848. assign av_fill_bit = av_sign_bit & R_ctrl_ld_signed;
  3849. always @(posedge clk or negedge reset_n)
  3850. begin
  3851. if (reset_n == 0)
  3852. av_ld_align_cycle <= 0;
  3853. else
  3854. av_ld_align_cycle <= av_ld_align_cycle_nxt;
  3855. end
  3856. always @(posedge clk or negedge reset_n)
  3857. begin
  3858. if (reset_n == 0)
  3859. av_ld_waiting_for_data <= 0;
  3860. else
  3861. av_ld_waiting_for_data <= av_ld_waiting_for_data_nxt;
  3862. end
  3863. always @(posedge clk or negedge reset_n)
  3864. begin
  3865. if (reset_n == 0)
  3866. av_ld_aligning_data <= 0;
  3867. else
  3868. av_ld_aligning_data <= av_ld_aligning_data_nxt;
  3869. end
  3870. always @(posedge clk or negedge reset_n)
  3871. begin
  3872. if (reset_n == 0)
  3873. av_ld_byte0_data <= 0;
  3874. else
  3875. av_ld_byte0_data <= av_ld_byte0_data_nxt;
  3876. end
  3877. always @(posedge clk or negedge reset_n)
  3878. begin
  3879. if (reset_n == 0)
  3880. av_ld_byte1_data <= 0;
  3881. else if (av_ld_byte1_data_en)
  3882. av_ld_byte1_data <= av_ld_byte1_data_nxt;
  3883. end
  3884. always @(posedge clk or negedge reset_n)
  3885. begin
  3886. if (reset_n == 0)
  3887. av_ld_byte2_data <= 0;
  3888. else
  3889. av_ld_byte2_data <= av_ld_byte2_data_nxt;
  3890. end
  3891. always @(posedge clk or negedge reset_n)
  3892. begin
  3893. if (reset_n == 0)
  3894. av_ld_byte3_data <= 0;
  3895. else
  3896. av_ld_byte3_data <= av_ld_byte3_data_nxt;
  3897. end
  3898. always @(posedge clk or negedge reset_n)
  3899. begin
  3900. if (reset_n == 0)
  3901. W_up_ex_mon_state <= 0;
  3902. else if (R_en)
  3903. W_up_ex_mon_state <= (R_ctrl_ld_ex & W_valid) ? 1'b1 :
  3904. ((D_op_eret & W_valid) | (R_ctrl_st_ex & W_valid)) ? 1'b0 :
  3905. W_up_ex_mon_state;
  3906. end
  3907. assign W_valid_from_M = W_valid;
  3908. always @(posedge clk or negedge reset_n)
  3909. begin
  3910. if (reset_n == 0)
  3911. W_valid <= 0;
  3912. else
  3913. W_valid <= E_valid & ~E_stall;
  3914. end
  3915. always @(posedge clk or negedge reset_n)
  3916. begin
  3917. if (reset_n == 0)
  3918. A_valid_from_M <= 0;
  3919. else
  3920. A_valid_from_M <= E_valid & ~E_stall;
  3921. end
  3922. always @(posedge clk or negedge reset_n)
  3923. begin
  3924. if (reset_n == 0)
  3925. W_control_rd_data <= 0;
  3926. else
  3927. W_control_rd_data <= D_ctrl_intr_inst ? W_status_reg : E_control_rd_data;
  3928. end
  3929. always @(posedge clk or negedge reset_n)
  3930. begin
  3931. if (reset_n == 0)
  3932. W_cmp_result <= 0;
  3933. else
  3934. W_cmp_result <= E_cmp_result;
  3935. end
  3936. always @(posedge clk or negedge reset_n)
  3937. begin
  3938. if (reset_n == 0)
  3939. W_alu_result <= 0;
  3940. else
  3941. W_alu_result <= E_alu_result;
  3942. end
  3943. always @(posedge clk or negedge reset_n)
  3944. begin
  3945. if (reset_n == 0)
  3946. W_status_reg_pie <= 0;
  3947. else
  3948. W_status_reg_pie <= W_status_reg_pie_nxt;
  3949. end
  3950. always @(posedge clk or negedge reset_n)
  3951. begin
  3952. if (reset_n == 0)
  3953. W_estatus_reg <= 0;
  3954. else
  3955. W_estatus_reg <= W_estatus_reg_nxt;
  3956. end
  3957. always @(posedge clk or negedge reset_n)
  3958. begin
  3959. if (reset_n == 0)
  3960. W_bstatus_reg <= 0;
  3961. else
  3962. W_bstatus_reg <= W_bstatus_reg_nxt;
  3963. end
  3964. always @(posedge clk or negedge reset_n)
  3965. begin
  3966. if (reset_n == 0)
  3967. W_ienable_reg <= 0;
  3968. else
  3969. W_ienable_reg <= W_ienable_reg_nxt;
  3970. end
  3971. always @(posedge clk or negedge reset_n)
  3972. begin
  3973. if (reset_n == 0)
  3974. W_ipending_reg <= 0;
  3975. else
  3976. W_ipending_reg <= W_ipending_reg_nxt;
  3977. end
  3978. always @(posedge clk or negedge reset_n)
  3979. begin
  3980. if (reset_n == 0)
  3981. W_cdsr_reg <= 0;
  3982. else
  3983. W_cdsr_reg <= 0;
  3984. end
  3985. assign W_cpuid_reg = 0;
  3986. assign W_wr_data_non_zero = R_ctrl_br_cmp ? W_cmp_result :
  3987. R_ctrl_rd_ctl_reg ? W_control_rd_data :
  3988. W_alu_result[31 : 0];
  3989. assign W_wr_data = W_wr_data_non_zero;
  3990. assign W_br_taken = R_ctrl_br_uncond | (R_ctrl_br & W_cmp_result);
  3991. assign W_mem_baddr = W_alu_result[19 : 0];
  3992. assign W_status_reg = W_status_reg_pie;
  3993. assign E_wrctl_status = R_ctrl_wrctl_inst &
  3994. (D_iw_control_regnum == 5'd0);
  3995. assign E_wrctl_estatus = R_ctrl_wrctl_inst &
  3996. (D_iw_control_regnum == 5'd1);
  3997. assign E_wrctl_bstatus = R_ctrl_wrctl_inst &
  3998. (D_iw_control_regnum == 5'd2);
  3999. assign E_wrctl_ienable = R_ctrl_wrctl_inst &
  4000. (D_iw_control_regnum == 5'd3);
  4001. assign W_status_reg_pie_inst_nxt = (R_ctrl_exception | R_ctrl_break | R_ctrl_crst | W_rf_ecc_unrecoverable_valid) ? 1'b0 :
  4002. (D_op_eret) ? W_estatus_reg :
  4003. (D_op_bret) ? W_bstatus_reg :
  4004. (E_wrctl_status) ? E_src1[0] :
  4005. W_status_reg_pie;
  4006. assign W_status_reg_pie_nxt = E_valid ? W_status_reg_pie_inst_nxt : W_status_reg_pie;
  4007. assign W_estatus_reg_inst_nxt = (R_ctrl_crst) ? 0 :
  4008. (R_ctrl_exception|W_rf_ecc_unrecoverable_valid) ? W_status_reg :
  4009. (E_wrctl_estatus) ? E_src1[0] :
  4010. W_estatus_reg;
  4011. assign W_estatus_reg_nxt = E_valid ? W_estatus_reg_inst_nxt : W_estatus_reg;
  4012. assign W_bstatus_reg_inst_nxt = (R_ctrl_break) ? W_status_reg :
  4013. (E_wrctl_bstatus) ? E_src1[0] :
  4014. W_bstatus_reg;
  4015. assign W_bstatus_reg_nxt = E_valid ? W_bstatus_reg_inst_nxt : W_bstatus_reg;
  4016. assign W_ienable_reg_nxt = ((E_wrctl_ienable & E_valid) ?
  4017. E_src1[31 : 0] : W_ienable_reg) & 32'b00000000000000000000000000000001;
  4018. assign W_ipending_reg_nxt = iactive & W_ienable_reg & oci_ienable & 32'b00000000000000000000000000000001;
  4019. always @(posedge clk or negedge reset_n)
  4020. begin
  4021. if (reset_n == 0)
  4022. hbreak_enabled <= 1'b1;
  4023. else if (E_valid)
  4024. hbreak_enabled <= R_ctrl_break ? 1'b0 : D_op_bret ? 1'b1 : hbreak_enabled;
  4025. end
  4026. always @(posedge clk or negedge reset_n)
  4027. begin
  4028. if (reset_n == 0)
  4029. d_write <= 0;
  4030. else
  4031. d_write <= d_write_nxt;
  4032. end
  4033. nios2_uc_nios2_cpu_nios2_oci the_nios2_uc_nios2_cpu_nios2_oci
  4034. (
  4035. .D_valid (D_valid),
  4036. .E_st_data (E_st_data),
  4037. .E_valid (E_valid),
  4038. .F_pc (F_pc),
  4039. .address_nxt (debug_mem_slave_address),
  4040. .av_ld_data_aligned_filtered (av_ld_data_aligned_filtered),
  4041. .byteenable_nxt (debug_mem_slave_byteenable),
  4042. .clk (debug_mem_slave_clk),
  4043. .d_address (d_address),
  4044. .d_read (d_read),
  4045. .d_waitrequest (d_waitrequest),
  4046. .d_write (d_write),
  4047. .debug_mem_slave_debugaccess_to_roms (debug_mem_slave_debugaccess_to_roms),
  4048. .debugaccess_nxt (debug_mem_slave_debugaccess),
  4049. .hbreak_enabled (hbreak_enabled),
  4050. .oci_hbreak_req (oci_hbreak_req),
  4051. .oci_ienable (oci_ienable),
  4052. .oci_single_step_mode (oci_single_step_mode),
  4053. .read_nxt (debug_mem_slave_read),
  4054. .readdata (debug_mem_slave_readdata),
  4055. .reset (debug_mem_slave_reset),
  4056. .reset_n (reset_n),
  4057. .reset_req (reset_req),
  4058. .resetrequest (debug_reset_request),
  4059. .waitrequest (debug_mem_slave_waitrequest),
  4060. .write_nxt (debug_mem_slave_write),
  4061. .writedata_nxt (debug_mem_slave_writedata)
  4062. );
  4063. //debug_mem_slave, which is an e_avalon_slave
  4064. assign debug_mem_slave_clk = clk;
  4065. assign debug_mem_slave_reset = ~reset_n;
  4066. assign D_ctrl_custom = 1'b0;
  4067. assign R_ctrl_custom_nxt = D_ctrl_custom;
  4068. always @(posedge clk or negedge reset_n)
  4069. begin
  4070. if (reset_n == 0)
  4071. R_ctrl_custom <= 0;
  4072. else if (R_en)
  4073. R_ctrl_custom <= R_ctrl_custom_nxt;
  4074. end
  4075. assign D_ctrl_custom_multi = 1'b0;
  4076. assign R_ctrl_custom_multi_nxt = D_ctrl_custom_multi;
  4077. always @(posedge clk or negedge reset_n)
  4078. begin
  4079. if (reset_n == 0)
  4080. R_ctrl_custom_multi <= 0;
  4081. else if (R_en)
  4082. R_ctrl_custom_multi <= R_ctrl_custom_multi_nxt;
  4083. end
  4084. assign D_ctrl_jmp_indirect = D_op_eret|D_op_bret|D_op_ret|D_op_jmp|D_op_callr;
  4085. assign R_ctrl_jmp_indirect_nxt = D_ctrl_jmp_indirect;
  4086. always @(posedge clk or negedge reset_n)
  4087. begin
  4088. if (reset_n == 0)
  4089. R_ctrl_jmp_indirect <= 0;
  4090. else if (R_en)
  4091. R_ctrl_jmp_indirect <= R_ctrl_jmp_indirect_nxt;
  4092. end
  4093. assign D_ctrl_jmp_direct = D_op_call|D_op_jmpi;
  4094. assign R_ctrl_jmp_direct_nxt = D_ctrl_jmp_direct;
  4095. always @(posedge clk or negedge reset_n)
  4096. begin
  4097. if (reset_n == 0)
  4098. R_ctrl_jmp_direct <= 0;
  4099. else if (R_en)
  4100. R_ctrl_jmp_direct <= R_ctrl_jmp_direct_nxt;
  4101. end
  4102. assign D_ctrl_implicit_dst_retaddr = D_op_call;
  4103. assign R_ctrl_implicit_dst_retaddr_nxt = D_ctrl_implicit_dst_retaddr;
  4104. always @(posedge clk or negedge reset_n)
  4105. begin
  4106. if (reset_n == 0)
  4107. R_ctrl_implicit_dst_retaddr <= 0;
  4108. else if (R_en)
  4109. R_ctrl_implicit_dst_retaddr <= R_ctrl_implicit_dst_retaddr_nxt;
  4110. end
  4111. assign D_ctrl_implicit_dst_eretaddr = D_op_div|
  4112. D_op_divu|
  4113. D_op_mul|
  4114. D_op_muli|
  4115. D_op_mulxss|
  4116. D_op_mulxsu|
  4117. D_op_mulxuu|
  4118. D_op_crst|
  4119. D_op_ldl|
  4120. D_op_op_rsv02|
  4121. D_op_op_rsv09|
  4122. D_op_op_rsv10|
  4123. D_op_op_rsv17|
  4124. D_op_op_rsv18|
  4125. D_op_op_rsv25|
  4126. D_op_op_rsv26|
  4127. D_op_op_rsv33|
  4128. D_op_op_rsv34|
  4129. D_op_op_rsv41|
  4130. D_op_op_rsv42|
  4131. D_op_op_rsv49|
  4132. D_op_op_rsv57|
  4133. D_op_op_rsv61|
  4134. D_op_op_rsv62|
  4135. D_op_op_rsv63|
  4136. D_op_opx_rsv00|
  4137. D_op_opx_rsv10|
  4138. D_op_opx_rsv15|
  4139. D_op_opx_rsv17|
  4140. D_op_opx_rsv21|
  4141. D_op_opx_rsv25|
  4142. D_op_opx_rsv33|
  4143. D_op_opx_rsv34|
  4144. D_op_opx_rsv35|
  4145. D_op_opx_rsv42|
  4146. D_op_opx_rsv43|
  4147. D_op_opx_rsv44|
  4148. D_op_opx_rsv47|
  4149. D_op_opx_rsv50|
  4150. D_op_opx_rsv51|
  4151. D_op_opx_rsv55|
  4152. D_op_opx_rsv56|
  4153. D_op_opx_rsv60|
  4154. D_op_opx_rsv63|
  4155. D_op_rdprs|
  4156. D_op_stc|
  4157. D_op_wrprs;
  4158. assign R_ctrl_implicit_dst_eretaddr_nxt = D_ctrl_implicit_dst_eretaddr;
  4159. always @(posedge clk or negedge reset_n)
  4160. begin
  4161. if (reset_n == 0)
  4162. R_ctrl_implicit_dst_eretaddr <= 0;
  4163. else if (R_en)
  4164. R_ctrl_implicit_dst_eretaddr <= R_ctrl_implicit_dst_eretaddr_nxt;
  4165. end
  4166. assign D_ctrl_exception = D_op_trap|
  4167. D_op_opx_rsv44|
  4168. D_op_div|
  4169. D_op_divu|
  4170. D_op_mul|
  4171. D_op_muli|
  4172. D_op_mulxss|
  4173. D_op_mulxsu|
  4174. D_op_mulxuu|
  4175. D_op_crst|
  4176. D_op_ldl|
  4177. D_op_op_rsv02|
  4178. D_op_op_rsv09|
  4179. D_op_op_rsv10|
  4180. D_op_op_rsv17|
  4181. D_op_op_rsv18|
  4182. D_op_op_rsv25|
  4183. D_op_op_rsv26|
  4184. D_op_op_rsv33|
  4185. D_op_op_rsv34|
  4186. D_op_op_rsv41|
  4187. D_op_op_rsv42|
  4188. D_op_op_rsv49|
  4189. D_op_op_rsv57|
  4190. D_op_op_rsv61|
  4191. D_op_op_rsv62|
  4192. D_op_op_rsv63|
  4193. D_op_opx_rsv00|
  4194. D_op_opx_rsv10|
  4195. D_op_opx_rsv15|
  4196. D_op_opx_rsv17|
  4197. D_op_opx_rsv21|
  4198. D_op_opx_rsv25|
  4199. D_op_opx_rsv33|
  4200. D_op_opx_rsv34|
  4201. D_op_opx_rsv35|
  4202. D_op_opx_rsv42|
  4203. D_op_opx_rsv43|
  4204. D_op_opx_rsv47|
  4205. D_op_opx_rsv50|
  4206. D_op_opx_rsv51|
  4207. D_op_opx_rsv55|
  4208. D_op_opx_rsv56|
  4209. D_op_opx_rsv60|
  4210. D_op_opx_rsv63|
  4211. D_op_rdprs|
  4212. D_op_stc|
  4213. D_op_wrprs|
  4214. D_op_intr;
  4215. assign R_ctrl_exception_nxt = D_ctrl_exception;
  4216. always @(posedge clk or negedge reset_n)
  4217. begin
  4218. if (reset_n == 0)
  4219. R_ctrl_exception <= 0;
  4220. else if (R_en)
  4221. R_ctrl_exception <= R_ctrl_exception_nxt;
  4222. end
  4223. assign D_ctrl_break = D_op_break|D_op_hbreak;
  4224. assign R_ctrl_break_nxt = D_ctrl_break;
  4225. always @(posedge clk or negedge reset_n)
  4226. begin
  4227. if (reset_n == 0)
  4228. R_ctrl_break <= 0;
  4229. else if (R_en)
  4230. R_ctrl_break <= R_ctrl_break_nxt;
  4231. end
  4232. assign D_ctrl_crst = 1'b0;
  4233. assign R_ctrl_crst_nxt = D_ctrl_crst;
  4234. always @(posedge clk or negedge reset_n)
  4235. begin
  4236. if (reset_n == 0)
  4237. R_ctrl_crst <= 0;
  4238. else if (R_en)
  4239. R_ctrl_crst <= R_ctrl_crst_nxt;
  4240. end
  4241. assign D_ctrl_rd_ctl_reg = D_op_rdctl;
  4242. assign R_ctrl_rd_ctl_reg_nxt = D_ctrl_rd_ctl_reg;
  4243. always @(posedge clk or negedge reset_n)
  4244. begin
  4245. if (reset_n == 0)
  4246. R_ctrl_rd_ctl_reg <= 0;
  4247. else if (R_en)
  4248. R_ctrl_rd_ctl_reg <= R_ctrl_rd_ctl_reg_nxt;
  4249. end
  4250. assign D_ctrl_uncond_cti_non_br = D_op_call|D_op_jmpi|D_op_eret|D_op_bret|D_op_ret|D_op_jmp|D_op_callr;
  4251. assign R_ctrl_uncond_cti_non_br_nxt = D_ctrl_uncond_cti_non_br;
  4252. always @(posedge clk or negedge reset_n)
  4253. begin
  4254. if (reset_n == 0)
  4255. R_ctrl_uncond_cti_non_br <= 0;
  4256. else if (R_en)
  4257. R_ctrl_uncond_cti_non_br <= R_ctrl_uncond_cti_non_br_nxt;
  4258. end
  4259. assign D_ctrl_retaddr = D_op_call|
  4260. D_op_op_rsv02|
  4261. D_op_nextpc|
  4262. D_op_callr|
  4263. D_op_trap|
  4264. D_op_opx_rsv44|
  4265. D_op_div|
  4266. D_op_divu|
  4267. D_op_mul|
  4268. D_op_muli|
  4269. D_op_mulxss|
  4270. D_op_mulxsu|
  4271. D_op_mulxuu|
  4272. D_op_crst|
  4273. D_op_ldl|
  4274. D_op_op_rsv09|
  4275. D_op_op_rsv10|
  4276. D_op_op_rsv17|
  4277. D_op_op_rsv18|
  4278. D_op_op_rsv25|
  4279. D_op_op_rsv26|
  4280. D_op_op_rsv33|
  4281. D_op_op_rsv34|
  4282. D_op_op_rsv41|
  4283. D_op_op_rsv42|
  4284. D_op_op_rsv49|
  4285. D_op_op_rsv57|
  4286. D_op_op_rsv61|
  4287. D_op_op_rsv62|
  4288. D_op_op_rsv63|
  4289. D_op_opx_rsv00|
  4290. D_op_opx_rsv10|
  4291. D_op_opx_rsv15|
  4292. D_op_opx_rsv17|
  4293. D_op_opx_rsv21|
  4294. D_op_opx_rsv25|
  4295. D_op_opx_rsv33|
  4296. D_op_opx_rsv34|
  4297. D_op_opx_rsv35|
  4298. D_op_opx_rsv42|
  4299. D_op_opx_rsv43|
  4300. D_op_opx_rsv47|
  4301. D_op_opx_rsv50|
  4302. D_op_opx_rsv51|
  4303. D_op_opx_rsv55|
  4304. D_op_opx_rsv56|
  4305. D_op_opx_rsv60|
  4306. D_op_opx_rsv63|
  4307. D_op_rdprs|
  4308. D_op_stc|
  4309. D_op_wrprs|
  4310. D_op_intr|
  4311. D_op_break|
  4312. D_op_hbreak;
  4313. assign R_ctrl_retaddr_nxt = D_ctrl_retaddr;
  4314. always @(posedge clk or negedge reset_n)
  4315. begin
  4316. if (reset_n == 0)
  4317. R_ctrl_retaddr <= 0;
  4318. else if (R_en)
  4319. R_ctrl_retaddr <= R_ctrl_retaddr_nxt;
  4320. end
  4321. assign D_ctrl_shift_logical = D_op_slli|D_op_sll|D_op_srli|D_op_srl;
  4322. assign R_ctrl_shift_logical_nxt = D_ctrl_shift_logical;
  4323. always @(posedge clk or negedge reset_n)
  4324. begin
  4325. if (reset_n == 0)
  4326. R_ctrl_shift_logical <= 0;
  4327. else if (R_en)
  4328. R_ctrl_shift_logical <= R_ctrl_shift_logical_nxt;
  4329. end
  4330. assign D_ctrl_shift_right_arith = D_op_srai|D_op_sra;
  4331. assign R_ctrl_shift_right_arith_nxt = D_ctrl_shift_right_arith;
  4332. always @(posedge clk or negedge reset_n)
  4333. begin
  4334. if (reset_n == 0)
  4335. R_ctrl_shift_right_arith <= 0;
  4336. else if (R_en)
  4337. R_ctrl_shift_right_arith <= R_ctrl_shift_right_arith_nxt;
  4338. end
  4339. assign D_ctrl_rot_right = D_op_ror;
  4340. assign R_ctrl_rot_right_nxt = D_ctrl_rot_right;
  4341. always @(posedge clk or negedge reset_n)
  4342. begin
  4343. if (reset_n == 0)
  4344. R_ctrl_rot_right <= 0;
  4345. else if (R_en)
  4346. R_ctrl_rot_right <= R_ctrl_rot_right_nxt;
  4347. end
  4348. assign D_ctrl_shift_rot_right = D_op_srli|D_op_srl|D_op_srai|D_op_sra|D_op_ror;
  4349. assign R_ctrl_shift_rot_right_nxt = D_ctrl_shift_rot_right;
  4350. always @(posedge clk or negedge reset_n)
  4351. begin
  4352. if (reset_n == 0)
  4353. R_ctrl_shift_rot_right <= 0;
  4354. else if (R_en)
  4355. R_ctrl_shift_rot_right <= R_ctrl_shift_rot_right_nxt;
  4356. end
  4357. assign D_ctrl_shift_rot = D_op_slli|
  4358. D_op_sll|
  4359. D_op_roli|
  4360. D_op_rol|
  4361. D_op_srli|
  4362. D_op_srl|
  4363. D_op_srai|
  4364. D_op_sra|
  4365. D_op_ror;
  4366. assign R_ctrl_shift_rot_nxt = D_ctrl_shift_rot;
  4367. always @(posedge clk or negedge reset_n)
  4368. begin
  4369. if (reset_n == 0)
  4370. R_ctrl_shift_rot <= 0;
  4371. else if (R_en)
  4372. R_ctrl_shift_rot <= R_ctrl_shift_rot_nxt;
  4373. end
  4374. assign D_ctrl_logic = D_op_and|
  4375. D_op_or|
  4376. D_op_xor|
  4377. D_op_nor|
  4378. D_op_andhi|
  4379. D_op_orhi|
  4380. D_op_xorhi|
  4381. D_op_andi|
  4382. D_op_ori|
  4383. D_op_xori;
  4384. assign R_ctrl_logic_nxt = D_ctrl_logic;
  4385. always @(posedge clk or negedge reset_n)
  4386. begin
  4387. if (reset_n == 0)
  4388. R_ctrl_logic <= 0;
  4389. else if (R_en)
  4390. R_ctrl_logic <= R_ctrl_logic_nxt;
  4391. end
  4392. assign D_ctrl_hi_imm16 = D_op_andhi|D_op_orhi|D_op_xorhi;
  4393. assign R_ctrl_hi_imm16_nxt = D_ctrl_hi_imm16;
  4394. always @(posedge clk or negedge reset_n)
  4395. begin
  4396. if (reset_n == 0)
  4397. R_ctrl_hi_imm16 <= 0;
  4398. else if (R_en)
  4399. R_ctrl_hi_imm16 <= R_ctrl_hi_imm16_nxt;
  4400. end
  4401. assign D_ctrl_set_src2_rem_imm = 1'b0;
  4402. assign R_ctrl_set_src2_rem_imm_nxt = D_ctrl_set_src2_rem_imm;
  4403. always @(posedge clk or negedge reset_n)
  4404. begin
  4405. if (reset_n == 0)
  4406. R_ctrl_set_src2_rem_imm <= 0;
  4407. else if (R_en)
  4408. R_ctrl_set_src2_rem_imm <= R_ctrl_set_src2_rem_imm_nxt;
  4409. end
  4410. assign D_ctrl_unsigned_lo_imm16 = D_op_cmpgeui|
  4411. D_op_cmpltui|
  4412. D_op_andi|
  4413. D_op_ori|
  4414. D_op_xori|
  4415. D_op_roli|
  4416. D_op_slli|
  4417. D_op_srli|
  4418. D_op_srai;
  4419. assign R_ctrl_unsigned_lo_imm16_nxt = D_ctrl_unsigned_lo_imm16;
  4420. always @(posedge clk or negedge reset_n)
  4421. begin
  4422. if (reset_n == 0)
  4423. R_ctrl_unsigned_lo_imm16 <= 0;
  4424. else if (R_en)
  4425. R_ctrl_unsigned_lo_imm16 <= R_ctrl_unsigned_lo_imm16_nxt;
  4426. end
  4427. assign D_ctrl_signed_imm12 = 1'b0;
  4428. assign R_ctrl_signed_imm12_nxt = D_ctrl_signed_imm12;
  4429. always @(posedge clk or negedge reset_n)
  4430. begin
  4431. if (reset_n == 0)
  4432. R_ctrl_signed_imm12 <= 0;
  4433. else if (R_en)
  4434. R_ctrl_signed_imm12 <= R_ctrl_signed_imm12_nxt;
  4435. end
  4436. assign D_ctrl_src_imm5_shift_rot = D_op_roli|D_op_slli|D_op_srli|D_op_srai;
  4437. assign R_ctrl_src_imm5_shift_rot_nxt = D_ctrl_src_imm5_shift_rot;
  4438. always @(posedge clk or negedge reset_n)
  4439. begin
  4440. if (reset_n == 0)
  4441. R_ctrl_src_imm5_shift_rot <= 0;
  4442. else if (R_en)
  4443. R_ctrl_src_imm5_shift_rot <= R_ctrl_src_imm5_shift_rot_nxt;
  4444. end
  4445. assign D_ctrl_br_uncond = D_op_br;
  4446. assign R_ctrl_br_uncond_nxt = D_ctrl_br_uncond;
  4447. always @(posedge clk or negedge reset_n)
  4448. begin
  4449. if (reset_n == 0)
  4450. R_ctrl_br_uncond <= 0;
  4451. else if (R_en)
  4452. R_ctrl_br_uncond <= R_ctrl_br_uncond_nxt;
  4453. end
  4454. assign D_ctrl_br = D_op_br|D_op_bge|D_op_blt|D_op_bne|D_op_beq|D_op_bgeu|D_op_bltu;
  4455. assign R_ctrl_br_nxt = D_ctrl_br;
  4456. always @(posedge clk or negedge reset_n)
  4457. begin
  4458. if (reset_n == 0)
  4459. R_ctrl_br <= 0;
  4460. else if (R_en)
  4461. R_ctrl_br <= R_ctrl_br_nxt;
  4462. end
  4463. assign D_ctrl_alu_subtract = D_op_sub|
  4464. D_op_cmplti|
  4465. D_op_cmpltui|
  4466. D_op_cmplt|
  4467. D_op_cmpltu|
  4468. D_op_blt|
  4469. D_op_bltu|
  4470. D_op_cmpgei|
  4471. D_op_cmpgeui|
  4472. D_op_cmpge|
  4473. D_op_cmpgeu|
  4474. D_op_bge|
  4475. D_op_bgeu;
  4476. assign R_ctrl_alu_subtract_nxt = D_ctrl_alu_subtract;
  4477. always @(posedge clk or negedge reset_n)
  4478. begin
  4479. if (reset_n == 0)
  4480. R_ctrl_alu_subtract <= 0;
  4481. else if (R_en)
  4482. R_ctrl_alu_subtract <= R_ctrl_alu_subtract_nxt;
  4483. end
  4484. assign D_ctrl_alu_signed_comparison = D_op_cmpge|D_op_cmpgei|D_op_cmplt|D_op_cmplti|D_op_bge|D_op_blt;
  4485. assign R_ctrl_alu_signed_comparison_nxt = D_ctrl_alu_signed_comparison;
  4486. always @(posedge clk or negedge reset_n)
  4487. begin
  4488. if (reset_n == 0)
  4489. R_ctrl_alu_signed_comparison <= 0;
  4490. else if (R_en)
  4491. R_ctrl_alu_signed_comparison <= R_ctrl_alu_signed_comparison_nxt;
  4492. end
  4493. assign D_ctrl_br_cmp = D_op_br|
  4494. D_op_bge|
  4495. D_op_blt|
  4496. D_op_bne|
  4497. D_op_beq|
  4498. D_op_bgeu|
  4499. D_op_bltu|
  4500. D_op_cmpgei|
  4501. D_op_cmplti|
  4502. D_op_cmpnei|
  4503. D_op_cmpgeui|
  4504. D_op_cmpltui|
  4505. D_op_cmpeqi|
  4506. D_op_cmpge|
  4507. D_op_cmplt|
  4508. D_op_cmpne|
  4509. D_op_cmpgeu|
  4510. D_op_cmpltu|
  4511. D_op_cmpeq;
  4512. assign R_ctrl_br_cmp_nxt = D_ctrl_br_cmp;
  4513. always @(posedge clk or negedge reset_n)
  4514. begin
  4515. if (reset_n == 0)
  4516. R_ctrl_br_cmp <= 0;
  4517. else if (R_en)
  4518. R_ctrl_br_cmp <= R_ctrl_br_cmp_nxt;
  4519. end
  4520. assign D_ctrl_ld_signed = D_op_ldb|D_op_ldh|D_op_ldw|D_op_ldbio|D_op_ldhio|D_op_ldwio;
  4521. assign R_ctrl_ld_signed_nxt = D_ctrl_ld_signed;
  4522. always @(posedge clk or negedge reset_n)
  4523. begin
  4524. if (reset_n == 0)
  4525. R_ctrl_ld_signed <= 0;
  4526. else if (R_en)
  4527. R_ctrl_ld_signed <= R_ctrl_ld_signed_nxt;
  4528. end
  4529. assign D_ctrl_ld = D_op_ldb|
  4530. D_op_ldh|
  4531. D_op_ldw|
  4532. D_op_ldbio|
  4533. D_op_ldhio|
  4534. D_op_ldwio|
  4535. D_op_ldbu|
  4536. D_op_ldhu|
  4537. D_op_ldbuio|
  4538. D_op_ldhuio;
  4539. assign R_ctrl_ld_nxt = D_ctrl_ld;
  4540. always @(posedge clk or negedge reset_n)
  4541. begin
  4542. if (reset_n == 0)
  4543. R_ctrl_ld <= 0;
  4544. else if (R_en)
  4545. R_ctrl_ld <= R_ctrl_ld_nxt;
  4546. end
  4547. assign D_ctrl_ld_ex = 1'b0;
  4548. assign R_ctrl_ld_ex_nxt = D_ctrl_ld_ex;
  4549. always @(posedge clk or negedge reset_n)
  4550. begin
  4551. if (reset_n == 0)
  4552. R_ctrl_ld_ex <= 0;
  4553. else if (R_en)
  4554. R_ctrl_ld_ex <= R_ctrl_ld_ex_nxt;
  4555. end
  4556. assign D_ctrl_ld_non_io = D_op_ldbu|D_op_ldhu|D_op_ldb|D_op_ldh|D_op_ldw;
  4557. assign R_ctrl_ld_non_io_nxt = D_ctrl_ld_non_io;
  4558. always @(posedge clk or negedge reset_n)
  4559. begin
  4560. if (reset_n == 0)
  4561. R_ctrl_ld_non_io <= 0;
  4562. else if (R_en)
  4563. R_ctrl_ld_non_io <= R_ctrl_ld_non_io_nxt;
  4564. end
  4565. assign D_ctrl_st_ex = 1'b0;
  4566. assign R_ctrl_st_ex_nxt = D_ctrl_st_ex;
  4567. always @(posedge clk or negedge reset_n)
  4568. begin
  4569. if (reset_n == 0)
  4570. R_ctrl_st_ex <= 0;
  4571. else if (R_en)
  4572. R_ctrl_st_ex <= R_ctrl_st_ex_nxt;
  4573. end
  4574. assign D_ctrl_st = D_op_stb|D_op_sth|D_op_stw|D_op_stbio|D_op_sthio|D_op_stwio;
  4575. assign R_ctrl_st_nxt = D_ctrl_st;
  4576. always @(posedge clk or negedge reset_n)
  4577. begin
  4578. if (reset_n == 0)
  4579. R_ctrl_st <= 0;
  4580. else if (R_en)
  4581. R_ctrl_st <= R_ctrl_st_nxt;
  4582. end
  4583. assign D_ctrl_ld_st_ex = 1'b0;
  4584. assign R_ctrl_ld_st_ex_nxt = D_ctrl_ld_st_ex;
  4585. always @(posedge clk or negedge reset_n)
  4586. begin
  4587. if (reset_n == 0)
  4588. R_ctrl_ld_st_ex <= 0;
  4589. else if (R_en)
  4590. R_ctrl_ld_st_ex <= R_ctrl_ld_st_ex_nxt;
  4591. end
  4592. assign D_ctrl_mem8 = D_op_ldb|D_op_ldbu|D_op_ldbio|D_op_ldbuio|D_op_stb|D_op_stbio;
  4593. assign R_ctrl_mem8_nxt = D_ctrl_mem8;
  4594. always @(posedge clk or negedge reset_n)
  4595. begin
  4596. if (reset_n == 0)
  4597. R_ctrl_mem8 <= 0;
  4598. else if (R_en)
  4599. R_ctrl_mem8 <= R_ctrl_mem8_nxt;
  4600. end
  4601. assign D_ctrl_mem16 = D_op_ldhu|D_op_ldh|D_op_ldhio|D_op_ldhuio|D_op_sth|D_op_sthio;
  4602. assign R_ctrl_mem16_nxt = D_ctrl_mem16;
  4603. always @(posedge clk or negedge reset_n)
  4604. begin
  4605. if (reset_n == 0)
  4606. R_ctrl_mem16 <= 0;
  4607. else if (R_en)
  4608. R_ctrl_mem16 <= R_ctrl_mem16_nxt;
  4609. end
  4610. assign D_ctrl_mem32 = D_op_ldw|D_op_ldwio|D_op_stw|D_op_stwio;
  4611. assign R_ctrl_mem32_nxt = D_ctrl_mem32;
  4612. always @(posedge clk or negedge reset_n)
  4613. begin
  4614. if (reset_n == 0)
  4615. R_ctrl_mem32 <= 0;
  4616. else if (R_en)
  4617. R_ctrl_mem32 <= R_ctrl_mem32_nxt;
  4618. end
  4619. assign D_ctrl_ld_io = D_op_ldbuio|D_op_ldhuio|D_op_ldbio|D_op_ldhio|D_op_ldwio;
  4620. assign R_ctrl_ld_io_nxt = D_ctrl_ld_io;
  4621. always @(posedge clk or negedge reset_n)
  4622. begin
  4623. if (reset_n == 0)
  4624. R_ctrl_ld_io <= 0;
  4625. else if (R_en)
  4626. R_ctrl_ld_io <= R_ctrl_ld_io_nxt;
  4627. end
  4628. assign D_ctrl_b_is_dst = D_op_addi|
  4629. D_op_andhi|
  4630. D_op_orhi|
  4631. D_op_xorhi|
  4632. D_op_andi|
  4633. D_op_ori|
  4634. D_op_xori|
  4635. D_op_call|
  4636. D_op_cmpgei|
  4637. D_op_cmplti|
  4638. D_op_cmpnei|
  4639. D_op_cmpgeui|
  4640. D_op_cmpltui|
  4641. D_op_cmpeqi|
  4642. D_op_jmpi|
  4643. D_op_ldb|
  4644. D_op_ldh|
  4645. D_op_ldw|
  4646. D_op_ldbio|
  4647. D_op_ldhio|
  4648. D_op_ldwio|
  4649. D_op_ldbu|
  4650. D_op_ldhu|
  4651. D_op_ldbuio|
  4652. D_op_ldhuio|
  4653. D_op_initd|
  4654. D_op_initda|
  4655. D_op_flushd|
  4656. D_op_flushda;
  4657. assign R_ctrl_b_is_dst_nxt = D_ctrl_b_is_dst;
  4658. always @(posedge clk or negedge reset_n)
  4659. begin
  4660. if (reset_n == 0)
  4661. R_ctrl_b_is_dst <= 0;
  4662. else if (R_en)
  4663. R_ctrl_b_is_dst <= R_ctrl_b_is_dst_nxt;
  4664. end
  4665. assign D_ctrl_ignore_dst = D_op_br|
  4666. D_op_bge|
  4667. D_op_blt|
  4668. D_op_bne|
  4669. D_op_beq|
  4670. D_op_bgeu|
  4671. D_op_bltu|
  4672. D_op_stb|
  4673. D_op_sth|
  4674. D_op_stw|
  4675. D_op_stbio|
  4676. D_op_sthio|
  4677. D_op_stwio|
  4678. D_op_jmpi;
  4679. assign R_ctrl_ignore_dst_nxt = D_ctrl_ignore_dst;
  4680. always @(posedge clk or negedge reset_n)
  4681. begin
  4682. if (reset_n == 0)
  4683. R_ctrl_ignore_dst <= 0;
  4684. else if (R_en)
  4685. R_ctrl_ignore_dst <= R_ctrl_ignore_dst_nxt;
  4686. end
  4687. assign D_ctrl_src2_choose_imm = D_op_addi|
  4688. D_op_andhi|
  4689. D_op_orhi|
  4690. D_op_xorhi|
  4691. D_op_andi|
  4692. D_op_ori|
  4693. D_op_xori|
  4694. D_op_call|
  4695. D_op_cmpgei|
  4696. D_op_cmplti|
  4697. D_op_cmpnei|
  4698. D_op_cmpgeui|
  4699. D_op_cmpltui|
  4700. D_op_cmpeqi|
  4701. D_op_jmpi|
  4702. D_op_ldb|
  4703. D_op_ldh|
  4704. D_op_ldw|
  4705. D_op_ldbio|
  4706. D_op_ldhio|
  4707. D_op_ldwio|
  4708. D_op_ldbu|
  4709. D_op_ldhu|
  4710. D_op_ldbuio|
  4711. D_op_ldhuio|
  4712. D_op_initd|
  4713. D_op_initda|
  4714. D_op_flushd|
  4715. D_op_flushda|
  4716. D_op_stb|
  4717. D_op_sth|
  4718. D_op_stw|
  4719. D_op_stbio|
  4720. D_op_sthio|
  4721. D_op_stwio|
  4722. D_op_roli|
  4723. D_op_slli|
  4724. D_op_srli|
  4725. D_op_srai;
  4726. assign R_ctrl_src2_choose_imm_nxt = D_ctrl_src2_choose_imm;
  4727. always @(posedge clk or negedge reset_n)
  4728. begin
  4729. if (reset_n == 0)
  4730. R_ctrl_src2_choose_imm <= 0;
  4731. else if (R_en)
  4732. R_ctrl_src2_choose_imm <= R_ctrl_src2_choose_imm_nxt;
  4733. end
  4734. assign D_ctrl_wrctl_inst = D_op_wrctl;
  4735. assign R_ctrl_wrctl_inst_nxt = D_ctrl_wrctl_inst;
  4736. always @(posedge clk or negedge reset_n)
  4737. begin
  4738. if (reset_n == 0)
  4739. R_ctrl_wrctl_inst <= 0;
  4740. else if (R_en)
  4741. R_ctrl_wrctl_inst <= R_ctrl_wrctl_inst_nxt;
  4742. end
  4743. assign D_ctrl_intr_inst = 1'b0;
  4744. assign R_ctrl_intr_inst_nxt = D_ctrl_intr_inst;
  4745. always @(posedge clk or negedge reset_n)
  4746. begin
  4747. if (reset_n == 0)
  4748. R_ctrl_intr_inst <= 0;
  4749. else if (R_en)
  4750. R_ctrl_intr_inst <= R_ctrl_intr_inst_nxt;
  4751. end
  4752. assign D_ctrl_force_src2_zero = D_op_call|
  4753. D_op_op_rsv02|
  4754. D_op_nextpc|
  4755. D_op_callr|
  4756. D_op_trap|
  4757. D_op_opx_rsv44|
  4758. D_op_crst|
  4759. D_op_ldl|
  4760. D_op_op_rsv09|
  4761. D_op_op_rsv10|
  4762. D_op_op_rsv17|
  4763. D_op_op_rsv18|
  4764. D_op_op_rsv25|
  4765. D_op_op_rsv26|
  4766. D_op_op_rsv33|
  4767. D_op_op_rsv34|
  4768. D_op_op_rsv41|
  4769. D_op_op_rsv42|
  4770. D_op_op_rsv49|
  4771. D_op_op_rsv57|
  4772. D_op_op_rsv61|
  4773. D_op_op_rsv62|
  4774. D_op_op_rsv63|
  4775. D_op_opx_rsv00|
  4776. D_op_opx_rsv10|
  4777. D_op_opx_rsv15|
  4778. D_op_opx_rsv17|
  4779. D_op_opx_rsv21|
  4780. D_op_opx_rsv25|
  4781. D_op_opx_rsv33|
  4782. D_op_opx_rsv34|
  4783. D_op_opx_rsv35|
  4784. D_op_opx_rsv42|
  4785. D_op_opx_rsv43|
  4786. D_op_opx_rsv47|
  4787. D_op_opx_rsv50|
  4788. D_op_opx_rsv51|
  4789. D_op_opx_rsv55|
  4790. D_op_opx_rsv56|
  4791. D_op_opx_rsv60|
  4792. D_op_opx_rsv63|
  4793. D_op_rdprs|
  4794. D_op_stc|
  4795. D_op_wrprs|
  4796. D_op_intr|
  4797. D_op_break|
  4798. D_op_hbreak|
  4799. D_op_eret|
  4800. D_op_bret|
  4801. D_op_ret|
  4802. D_op_jmp|
  4803. D_op_jmpi;
  4804. assign R_ctrl_force_src2_zero_nxt = D_ctrl_force_src2_zero;
  4805. always @(posedge clk or negedge reset_n)
  4806. begin
  4807. if (reset_n == 0)
  4808. R_ctrl_force_src2_zero <= 0;
  4809. else if (R_en)
  4810. R_ctrl_force_src2_zero <= R_ctrl_force_src2_zero_nxt;
  4811. end
  4812. assign D_ctrl_alu_force_xor = D_op_cmpgei|
  4813. D_op_cmpgeui|
  4814. D_op_cmpeqi|
  4815. D_op_cmpge|
  4816. D_op_cmpgeu|
  4817. D_op_cmpeq|
  4818. D_op_cmpnei|
  4819. D_op_cmpne|
  4820. D_op_bge|
  4821. D_op_bgeu|
  4822. D_op_beq|
  4823. D_op_bne|
  4824. D_op_br;
  4825. assign R_ctrl_alu_force_xor_nxt = D_ctrl_alu_force_xor;
  4826. always @(posedge clk or negedge reset_n)
  4827. begin
  4828. if (reset_n == 0)
  4829. R_ctrl_alu_force_xor <= 0;
  4830. else if (R_en)
  4831. R_ctrl_alu_force_xor <= R_ctrl_alu_force_xor_nxt;
  4832. end
  4833. assign D_ctrl_alu_force_and = 1'b0;
  4834. assign R_ctrl_alu_force_and_nxt = D_ctrl_alu_force_and;
  4835. always @(posedge clk or negedge reset_n)
  4836. begin
  4837. if (reset_n == 0)
  4838. R_ctrl_alu_force_and <= 0;
  4839. else if (R_en)
  4840. R_ctrl_alu_force_and <= R_ctrl_alu_force_and_nxt;
  4841. end
  4842. //data_master, which is an e_avalon_master
  4843. //instruction_master, which is an e_avalon_master
  4844. //synthesis translate_off
  4845. //////////////// SIMULATION-ONLY CONTENTS
  4846. assign F_inst = (F_op_call)? 56'h20202063616c6c :
  4847. (F_op_jmpi)? 56'h2020206a6d7069 :
  4848. (F_op_ldbu)? 56'h2020206c646275 :
  4849. (F_op_addi)? 56'h20202061646469 :
  4850. (F_op_stb)? 56'h20202020737462 :
  4851. (F_op_br)? 56'h20202020206272 :
  4852. (F_op_ldb)? 56'h202020206c6462 :
  4853. (F_op_cmpgei)? 56'h20636d70676569 :
  4854. (F_op_ldhu)? 56'h2020206c646875 :
  4855. (F_op_andi)? 56'h202020616e6469 :
  4856. (F_op_sth)? 56'h20202020737468 :
  4857. (F_op_bge)? 56'h20202020626765 :
  4858. (F_op_ldh)? 56'h202020206c6468 :
  4859. (F_op_cmplti)? 56'h20636d706c7469 :
  4860. (F_op_initda)? 56'h20696e69746461 :
  4861. (F_op_ori)? 56'h202020206f7269 :
  4862. (F_op_stw)? 56'h20202020737477 :
  4863. (F_op_blt)? 56'h20202020626c74 :
  4864. (F_op_ldw)? 56'h202020206c6477 :
  4865. (F_op_cmpnei)? 56'h20636d706e6569 :
  4866. (F_op_flushda)? 56'h666c7573686461 :
  4867. (F_op_xori)? 56'h202020786f7269 :
  4868. (F_op_bne)? 56'h20202020626e65 :
  4869. (F_op_cmpeqi)? 56'h20636d70657169 :
  4870. (F_op_ldbuio)? 56'h206c646275696f :
  4871. (F_op_muli)? 56'h2020206d756c69 :
  4872. (F_op_stbio)? 56'h2020737462696f :
  4873. (F_op_beq)? 56'h20202020626571 :
  4874. (F_op_ldbio)? 56'h20206c6462696f :
  4875. (F_op_cmpgeui)? 56'h636d7067657569 :
  4876. (F_op_ldhuio)? 56'h206c646875696f :
  4877. (F_op_andhi)? 56'h2020616e646869 :
  4878. (F_op_sthio)? 56'h2020737468696f :
  4879. (F_op_bgeu)? 56'h20202062676575 :
  4880. (F_op_ldhio)? 56'h20206c6468696f :
  4881. (F_op_cmpltui)? 56'h636d706c747569 :
  4882. (F_op_custom)? 56'h20637573746f6d :
  4883. (F_op_initd)? 56'h2020696e697464 :
  4884. (F_op_orhi)? 56'h2020206f726869 :
  4885. (F_op_stwio)? 56'h2020737477696f :
  4886. (F_op_bltu)? 56'h202020626c7475 :
  4887. (F_op_ldwio)? 56'h20206c6477696f :
  4888. (F_op_flushd)? 56'h20666c75736864 :
  4889. (F_op_xorhi)? 56'h2020786f726869 :
  4890. (F_op_eret)? 56'h20202065726574 :
  4891. (F_op_roli)? 56'h202020726f6c69 :
  4892. (F_op_rol)? 56'h20202020726f6c :
  4893. (F_op_flushp)? 56'h20666c75736870 :
  4894. (F_op_ret)? 56'h20202020726574 :
  4895. (F_op_nor)? 56'h202020206e6f72 :
  4896. (F_op_mulxuu)? 56'h206d756c787575 :
  4897. (F_op_cmpge)? 56'h2020636d706765 :
  4898. (F_op_bret)? 56'h20202062726574 :
  4899. (F_op_ror)? 56'h20202020726f72 :
  4900. (F_op_flushi)? 56'h20666c75736869 :
  4901. (F_op_jmp)? 56'h202020206a6d70 :
  4902. (F_op_and)? 56'h20202020616e64 :
  4903. (F_op_cmplt)? 56'h2020636d706c74 :
  4904. (F_op_slli)? 56'h202020736c6c69 :
  4905. (F_op_sll)? 56'h20202020736c6c :
  4906. (F_op_or)? 56'h20202020206f72 :
  4907. (F_op_mulxsu)? 56'h206d756c787375 :
  4908. (F_op_cmpne)? 56'h2020636d706e65 :
  4909. (F_op_srli)? 56'h20202073726c69 :
  4910. (F_op_srl)? 56'h2020202073726c :
  4911. (F_op_nextpc)? 56'h206e6578747063 :
  4912. (F_op_callr)? 56'h202063616c6c72 :
  4913. (F_op_xor)? 56'h20202020786f72 :
  4914. (F_op_mulxss)? 56'h206d756c787373 :
  4915. (F_op_cmpeq)? 56'h2020636d706571 :
  4916. (F_op_divu)? 56'h20202064697675 :
  4917. (F_op_div)? 56'h20202020646976 :
  4918. (F_op_rdctl)? 56'h2020726463746c :
  4919. (F_op_mul)? 56'h202020206d756c :
  4920. (F_op_cmpgeu)? 56'h20636d70676575 :
  4921. (F_op_initi)? 56'h2020696e697469 :
  4922. (F_op_trap)? 56'h20202074726170 :
  4923. (F_op_wrctl)? 56'h2020777263746c :
  4924. (F_op_cmpltu)? 56'h20636d706c7475 :
  4925. (F_op_add)? 56'h20202020616464 :
  4926. (F_op_break)? 56'h2020627265616b :
  4927. (F_op_hbreak)? 56'h2068627265616b :
  4928. (F_op_sync)? 56'h20202073796e63 :
  4929. (F_op_sub)? 56'h20202020737562 :
  4930. (F_op_srai)? 56'h20202073726169 :
  4931. (F_op_sra)? 56'h20202020737261 :
  4932. (F_op_intr)? 56'h202020696e7472 :
  4933. 56'h20202020424144;
  4934. assign D_inst = (D_op_call)? 56'h20202063616c6c :
  4935. (D_op_jmpi)? 56'h2020206a6d7069 :
  4936. (D_op_ldbu)? 56'h2020206c646275 :
  4937. (D_op_addi)? 56'h20202061646469 :
  4938. (D_op_stb)? 56'h20202020737462 :
  4939. (D_op_br)? 56'h20202020206272 :
  4940. (D_op_ldb)? 56'h202020206c6462 :
  4941. (D_op_cmpgei)? 56'h20636d70676569 :
  4942. (D_op_ldhu)? 56'h2020206c646875 :
  4943. (D_op_andi)? 56'h202020616e6469 :
  4944. (D_op_sth)? 56'h20202020737468 :
  4945. (D_op_bge)? 56'h20202020626765 :
  4946. (D_op_ldh)? 56'h202020206c6468 :
  4947. (D_op_cmplti)? 56'h20636d706c7469 :
  4948. (D_op_initda)? 56'h20696e69746461 :
  4949. (D_op_ori)? 56'h202020206f7269 :
  4950. (D_op_stw)? 56'h20202020737477 :
  4951. (D_op_blt)? 56'h20202020626c74 :
  4952. (D_op_ldw)? 56'h202020206c6477 :
  4953. (D_op_cmpnei)? 56'h20636d706e6569 :
  4954. (D_op_flushda)? 56'h666c7573686461 :
  4955. (D_op_xori)? 56'h202020786f7269 :
  4956. (D_op_bne)? 56'h20202020626e65 :
  4957. (D_op_cmpeqi)? 56'h20636d70657169 :
  4958. (D_op_ldbuio)? 56'h206c646275696f :
  4959. (D_op_muli)? 56'h2020206d756c69 :
  4960. (D_op_stbio)? 56'h2020737462696f :
  4961. (D_op_beq)? 56'h20202020626571 :
  4962. (D_op_ldbio)? 56'h20206c6462696f :
  4963. (D_op_cmpgeui)? 56'h636d7067657569 :
  4964. (D_op_ldhuio)? 56'h206c646875696f :
  4965. (D_op_andhi)? 56'h2020616e646869 :
  4966. (D_op_sthio)? 56'h2020737468696f :
  4967. (D_op_bgeu)? 56'h20202062676575 :
  4968. (D_op_ldhio)? 56'h20206c6468696f :
  4969. (D_op_cmpltui)? 56'h636d706c747569 :
  4970. (D_op_custom)? 56'h20637573746f6d :
  4971. (D_op_initd)? 56'h2020696e697464 :
  4972. (D_op_orhi)? 56'h2020206f726869 :
  4973. (D_op_stwio)? 56'h2020737477696f :
  4974. (D_op_bltu)? 56'h202020626c7475 :
  4975. (D_op_ldwio)? 56'h20206c6477696f :
  4976. (D_op_flushd)? 56'h20666c75736864 :
  4977. (D_op_xorhi)? 56'h2020786f726869 :
  4978. (D_op_eret)? 56'h20202065726574 :
  4979. (D_op_roli)? 56'h202020726f6c69 :
  4980. (D_op_rol)? 56'h20202020726f6c :
  4981. (D_op_flushp)? 56'h20666c75736870 :
  4982. (D_op_ret)? 56'h20202020726574 :
  4983. (D_op_nor)? 56'h202020206e6f72 :
  4984. (D_op_mulxuu)? 56'h206d756c787575 :
  4985. (D_op_cmpge)? 56'h2020636d706765 :
  4986. (D_op_bret)? 56'h20202062726574 :
  4987. (D_op_ror)? 56'h20202020726f72 :
  4988. (D_op_flushi)? 56'h20666c75736869 :
  4989. (D_op_jmp)? 56'h202020206a6d70 :
  4990. (D_op_and)? 56'h20202020616e64 :
  4991. (D_op_cmplt)? 56'h2020636d706c74 :
  4992. (D_op_slli)? 56'h202020736c6c69 :
  4993. (D_op_sll)? 56'h20202020736c6c :
  4994. (D_op_or)? 56'h20202020206f72 :
  4995. (D_op_mulxsu)? 56'h206d756c787375 :
  4996. (D_op_cmpne)? 56'h2020636d706e65 :
  4997. (D_op_srli)? 56'h20202073726c69 :
  4998. (D_op_srl)? 56'h2020202073726c :
  4999. (D_op_nextpc)? 56'h206e6578747063 :
  5000. (D_op_callr)? 56'h202063616c6c72 :
  5001. (D_op_xor)? 56'h20202020786f72 :
  5002. (D_op_mulxss)? 56'h206d756c787373 :
  5003. (D_op_cmpeq)? 56'h2020636d706571 :
  5004. (D_op_divu)? 56'h20202064697675 :
  5005. (D_op_div)? 56'h20202020646976 :
  5006. (D_op_rdctl)? 56'h2020726463746c :
  5007. (D_op_mul)? 56'h202020206d756c :
  5008. (D_op_cmpgeu)? 56'h20636d70676575 :
  5009. (D_op_initi)? 56'h2020696e697469 :
  5010. (D_op_trap)? 56'h20202074726170 :
  5011. (D_op_wrctl)? 56'h2020777263746c :
  5012. (D_op_cmpltu)? 56'h20636d706c7475 :
  5013. (D_op_add)? 56'h20202020616464 :
  5014. (D_op_break)? 56'h2020627265616b :
  5015. (D_op_hbreak)? 56'h2068627265616b :
  5016. (D_op_sync)? 56'h20202073796e63 :
  5017. (D_op_sub)? 56'h20202020737562 :
  5018. (D_op_srai)? 56'h20202073726169 :
  5019. (D_op_sra)? 56'h20202020737261 :
  5020. (D_op_intr)? 56'h202020696e7472 :
  5021. 56'h20202020424144;
  5022. assign F_vinst = F_valid ? F_inst : {9{8'h2d}};
  5023. assign D_vinst = D_valid ? D_inst : {9{8'h2d}};
  5024. assign R_vinst = R_valid ? D_inst : {9{8'h2d}};
  5025. assign E_vinst = E_valid ? D_inst : {9{8'h2d}};
  5026. assign W_vinst = W_valid ? D_inst : {9{8'h2d}};
  5027. //////////////// END SIMULATION-ONLY CONTENTS
  5028. //synthesis translate_on
  5029. endmodule