nios2_uc_nios2_cpu.sdc 3.9 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253
  1. # Legal Notice: (C)2020 Altera Corporation. All rights reserved. Your
  2. # use of Altera Corporation's design tools, logic functions and other
  3. # software and tools, and its AMPP partner logic functions, and any
  4. # output files any of the foregoing (including device programming or
  5. # simulation files), and any associated documentation or information are
  6. # expressly subject to the terms and conditions of the Altera Program
  7. # License Subscription Agreement or other applicable license agreement,
  8. # including, without limitation, that your use is for the sole purpose
  9. # of programming logic devices manufactured by Altera and sold by Altera
  10. # or its authorized distributors. Please refer to the applicable
  11. # agreement for further details.
  12. #**************************************************************
  13. # Timequest JTAG clock definition
  14. # Uncommenting the following lines will define the JTAG
  15. # clock in TimeQuest Timing Analyzer
  16. #**************************************************************
  17. #create_clock -period 10MHz {altera_reserved_tck}
  18. #set_clock_groups -asynchronous -group {altera_reserved_tck}
  19. #**************************************************************
  20. # Set TCL Path Variables
  21. #**************************************************************
  22. set nios2_uc_nios2_cpu nios2_uc_nios2_cpu:*
  23. set nios2_uc_nios2_cpu_oci nios2_uc_nios2_cpu_nios2_oci:the_nios2_uc_nios2_cpu_nios2_oci
  24. set nios2_uc_nios2_cpu_oci_break nios2_uc_nios2_cpu_nios2_oci_break:the_nios2_uc_nios2_cpu_nios2_oci_break
  25. set nios2_uc_nios2_cpu_ocimem nios2_uc_nios2_cpu_nios2_ocimem:the_nios2_uc_nios2_cpu_nios2_ocimem
  26. set nios2_uc_nios2_cpu_oci_debug nios2_uc_nios2_cpu_nios2_oci_debug:the_nios2_uc_nios2_cpu_nios2_oci_debug
  27. set nios2_uc_nios2_cpu_wrapper nios2_uc_nios2_cpu_debug_slave_wrapper:the_nios2_uc_nios2_cpu_debug_slave_wrapper
  28. set nios2_uc_nios2_cpu_jtag_tck nios2_uc_nios2_cpu_debug_slave_tck:the_nios2_uc_nios2_cpu_debug_slave_tck
  29. set nios2_uc_nios2_cpu_jtag_sysclk nios2_uc_nios2_cpu_debug_slave_sysclk:the_nios2_uc_nios2_cpu_debug_slave_sysclk
  30. set nios2_uc_nios2_cpu_oci_path [format "%s|%s" $nios2_uc_nios2_cpu $nios2_uc_nios2_cpu_oci]
  31. set nios2_uc_nios2_cpu_oci_break_path [format "%s|%s" $nios2_uc_nios2_cpu_oci_path $nios2_uc_nios2_cpu_oci_break]
  32. set nios2_uc_nios2_cpu_ocimem_path [format "%s|%s" $nios2_uc_nios2_cpu_oci_path $nios2_uc_nios2_cpu_ocimem]
  33. set nios2_uc_nios2_cpu_oci_debug_path [format "%s|%s" $nios2_uc_nios2_cpu_oci_path $nios2_uc_nios2_cpu_oci_debug]
  34. set nios2_uc_nios2_cpu_jtag_tck_path [format "%s|%s|%s" $nios2_uc_nios2_cpu_oci_path $nios2_uc_nios2_cpu_wrapper $nios2_uc_nios2_cpu_jtag_tck]
  35. set nios2_uc_nios2_cpu_jtag_sysclk_path [format "%s|%s|%s" $nios2_uc_nios2_cpu_oci_path $nios2_uc_nios2_cpu_wrapper $nios2_uc_nios2_cpu_jtag_sysclk]
  36. set nios2_uc_nios2_cpu_jtag_sr [format "%s|*sr" $nios2_uc_nios2_cpu_jtag_tck_path]
  37. #**************************************************************
  38. # Set False Paths
  39. #**************************************************************
  40. set_false_path -from [get_keepers *$nios2_uc_nios2_cpu_oci_break_path|break_readreg*] -to [get_keepers *$nios2_uc_nios2_cpu_jtag_sr*]
  41. set_false_path -from [get_keepers *$nios2_uc_nios2_cpu_oci_debug_path|*resetlatch] -to [get_keepers *$nios2_uc_nios2_cpu_jtag_sr[33]]
  42. set_false_path -from [get_keepers *$nios2_uc_nios2_cpu_oci_debug_path|monitor_ready] -to [get_keepers *$nios2_uc_nios2_cpu_jtag_sr[0]]
  43. set_false_path -from [get_keepers *$nios2_uc_nios2_cpu_oci_debug_path|monitor_error] -to [get_keepers *$nios2_uc_nios2_cpu_jtag_sr[34]]
  44. set_false_path -from [get_keepers *$nios2_uc_nios2_cpu_ocimem_path|*MonDReg*] -to [get_keepers *$nios2_uc_nios2_cpu_jtag_sr*]
  45. set_false_path -from *$nios2_uc_nios2_cpu_jtag_sr* -to *$nios2_uc_nios2_cpu_jtag_sysclk_path|*jdo*
  46. set_false_path -from sld_hub:*|irf_reg* -to *$nios2_uc_nios2_cpu_jtag_sysclk_path|ir*
  47. set_false_path -from sld_hub:*|sld_shadow_jsm:shadow_jsm|state[1] -to *$nios2_uc_nios2_cpu_oci_debug_path|monitor_go