nios2_uc_nios2.v 5.6 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667
  1. // nios2_uc_nios2.v
  2. // This file was auto-generated from altera_nios2_hw.tcl. If you edit it your changes
  3. // will probably be lost.
  4. //
  5. // Generated using ACDS version 18.1 646
  6. `timescale 1 ps / 1 ps
  7. module nios2_uc_nios2 (
  8. input wire clk, // clk.clk
  9. input wire reset_n, // reset.reset_n
  10. input wire reset_req, // .reset_req
  11. output wire [19:0] d_address, // data_master.address
  12. output wire [3:0] d_byteenable, // .byteenable
  13. output wire d_read, // .read
  14. input wire [31:0] d_readdata, // .readdata
  15. input wire d_waitrequest, // .waitrequest
  16. output wire d_write, // .write
  17. output wire [31:0] d_writedata, // .writedata
  18. output wire debug_mem_slave_debugaccess_to_roms, // .debugaccess
  19. output wire [19:0] i_address, // instruction_master.address
  20. output wire i_read, // .read
  21. input wire [31:0] i_readdata, // .readdata
  22. input wire i_waitrequest, // .waitrequest
  23. input wire [31:0] irq, // irq.irq
  24. output wire debug_reset_request, // debug_reset_request.reset
  25. input wire [8:0] debug_mem_slave_address, // debug_mem_slave.address
  26. input wire [3:0] debug_mem_slave_byteenable, // .byteenable
  27. input wire debug_mem_slave_debugaccess, // .debugaccess
  28. input wire debug_mem_slave_read, // .read
  29. output wire [31:0] debug_mem_slave_readdata, // .readdata
  30. output wire debug_mem_slave_waitrequest, // .waitrequest
  31. input wire debug_mem_slave_write, // .write
  32. input wire [31:0] debug_mem_slave_writedata, // .writedata
  33. output wire dummy_ci_port // custom_instruction_master.readra
  34. );
  35. nios2_uc_nios2_cpu cpu (
  36. .clk (clk), // clk.clk
  37. .reset_n (reset_n), // reset.reset_n
  38. .reset_req (reset_req), // .reset_req
  39. .d_address (d_address), // data_master.address
  40. .d_byteenable (d_byteenable), // .byteenable
  41. .d_read (d_read), // .read
  42. .d_readdata (d_readdata), // .readdata
  43. .d_waitrequest (d_waitrequest), // .waitrequest
  44. .d_write (d_write), // .write
  45. .d_writedata (d_writedata), // .writedata
  46. .debug_mem_slave_debugaccess_to_roms (debug_mem_slave_debugaccess_to_roms), // .debugaccess
  47. .i_address (i_address), // instruction_master.address
  48. .i_read (i_read), // .read
  49. .i_readdata (i_readdata), // .readdata
  50. .i_waitrequest (i_waitrequest), // .waitrequest
  51. .irq (irq), // irq.irq
  52. .debug_reset_request (debug_reset_request), // debug_reset_request.reset
  53. .debug_mem_slave_address (debug_mem_slave_address), // debug_mem_slave.address
  54. .debug_mem_slave_byteenable (debug_mem_slave_byteenable), // .byteenable
  55. .debug_mem_slave_debugaccess (debug_mem_slave_debugaccess), // .debugaccess
  56. .debug_mem_slave_read (debug_mem_slave_read), // .read
  57. .debug_mem_slave_readdata (debug_mem_slave_readdata), // .readdata
  58. .debug_mem_slave_waitrequest (debug_mem_slave_waitrequest), // .waitrequest
  59. .debug_mem_slave_write (debug_mem_slave_write), // .write
  60. .debug_mem_slave_writedata (debug_mem_slave_writedata), // .writedata
  61. .dummy_ci_port (dummy_ci_port) // custom_instruction_master.readra
  62. );
  63. endmodule