nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv 3.7 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107
  1. // (C) 2001-2019 Intel Corporation. All rights reserved.
  2. // Your use of Intel Corporation's design tools, logic functions and other
  3. // software and tools, and its AMPP partner logic functions, and any output
  4. // files from any of the foregoing (including device programming or simulation
  5. // files), and any associated documentation or information are expressly subject
  6. // to the terms and conditions of the Intel Program License Subscription
  7. // Agreement, Intel FPGA IP License Agreement, or other applicable
  8. // license agreement, including, without limitation, that your use is for the
  9. // sole purpose of programming logic devices manufactured by Intel and sold by
  10. // Intel or its authorized distributors. Please refer to the applicable
  11. // agreement for further details.
  12. // (C) 2001-2013 Altera Corporation. All rights reserved.
  13. // Your use of Altera Corporation's design tools, logic functions and other
  14. // software and tools, and its AMPP partner logic functions, and any output
  15. // files any of the foregoing (including device programming or simulation
  16. // files), and any associated documentation or information are expressly subject
  17. // to the terms and conditions of the Altera Program License Subscription
  18. // Agreement, Altera MegaCore Function License Agreement, or other applicable
  19. // license agreement, including, without limitation, that your use is for the
  20. // sole purpose of programming logic devices manufactured by Altera and sold by
  21. // Altera or its authorized distributors. Please refer to the applicable
  22. // agreement for further details.
  23. // $Id: //acds/rel/13.1/ip/.../avalon-st_error_adapter.sv.terp#1 $
  24. // $Revision: #1 $
  25. // $Date: 2013/09/09 $
  26. // $Author: dmunday $
  27. // --------------------------------------------------------------------------------
  28. //| Avalon Streaming Error Adapter
  29. // --------------------------------------------------------------------------------
  30. `timescale 1ns / 100ps
  31. // ------------------------------------------
  32. // Generation parameters:
  33. // output_name: nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0
  34. // use_ready: true
  35. // use_packets: false
  36. // use_empty: 0
  37. // empty_width: 0
  38. // data_width: 34
  39. // channel_width: 0
  40. // in_error_width: 0
  41. // out_error_width: 1
  42. // in_errors_list
  43. // in_errors_indices 0
  44. // out_errors_list
  45. // has_in_error_desc: FALSE
  46. // has_out_error_desc: FALSE
  47. // out_has_other: FALSE
  48. // out_other_index: -1
  49. // dumpVar:
  50. // inString: in_error[
  51. // closeString: ] |
  52. // ------------------------------------------
  53. module nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0
  54. (
  55. // Interface: in
  56. output reg in_ready,
  57. input in_valid,
  58. input [34-1: 0] in_data,
  59. // Interface: out
  60. input out_ready,
  61. output reg out_valid,
  62. output reg [34-1: 0] out_data,
  63. output reg [0:0] out_error,
  64. // Interface: clk
  65. input clk,
  66. // Interface: reset
  67. input reset_n
  68. /*AUTOARG*/);
  69. reg in_error = 0;
  70. initial in_error = 0;
  71. // ---------------------------------------------------------------------
  72. //| Pass-through Mapping
  73. // ---------------------------------------------------------------------
  74. always_comb begin
  75. in_ready = out_ready;
  76. out_valid = in_valid;
  77. out_data = in_data;
  78. end
  79. // ---------------------------------------------------------------------
  80. //| Error Mapping
  81. // ---------------------------------------------------------------------
  82. always_comb begin
  83. out_error = 0;
  84. out_error = in_error;
  85. end //always @*
  86. endmodule