nios2_uc_mm_interconnect_0_avalon_st_adapter.v 6.0 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202
  1. // nios2_uc_mm_interconnect_0_avalon_st_adapter.v
  2. // This file was auto-generated from altera_avalon_st_adapter_hw.tcl. If you edit it your changes
  3. // will probably be lost.
  4. //
  5. // Generated using ACDS version 18.1 646
  6. `timescale 1 ps / 1 ps
  7. module nios2_uc_mm_interconnect_0_avalon_st_adapter #(
  8. parameter inBitsPerSymbol = 34,
  9. parameter inUsePackets = 0,
  10. parameter inDataWidth = 34,
  11. parameter inChannelWidth = 0,
  12. parameter inErrorWidth = 0,
  13. parameter inUseEmptyPort = 0,
  14. parameter inUseValid = 1,
  15. parameter inUseReady = 1,
  16. parameter inReadyLatency = 0,
  17. parameter outDataWidth = 34,
  18. parameter outChannelWidth = 0,
  19. parameter outErrorWidth = 1,
  20. parameter outUseEmptyPort = 0,
  21. parameter outUseValid = 1,
  22. parameter outUseReady = 1,
  23. parameter outReadyLatency = 0
  24. ) (
  25. input wire in_clk_0_clk, // in_clk_0.clk
  26. input wire in_rst_0_reset, // in_rst_0.reset
  27. input wire [33:0] in_0_data, // in_0.data
  28. input wire in_0_valid, // .valid
  29. output wire in_0_ready, // .ready
  30. output wire [33:0] out_0_data, // out_0.data
  31. output wire out_0_valid, // .valid
  32. input wire out_0_ready, // .ready
  33. output wire [0:0] out_0_error // .error
  34. );
  35. generate
  36. // If any of the display statements (or deliberately broken
  37. // instantiations) within this generate block triggers then this module
  38. // has been instantiated this module with a set of parameters different
  39. // from those it was generated for. This will usually result in a
  40. // non-functioning system.
  41. if (inBitsPerSymbol != 34)
  42. begin
  43. initial begin
  44. $display("Generated module instantiated with wrong parameters");
  45. $stop;
  46. end
  47. instantiated_with_wrong_parameters_error_see_comment_above
  48. inbitspersymbol_check ( .error(1'b1) );
  49. end
  50. if (inUsePackets != 0)
  51. begin
  52. initial begin
  53. $display("Generated module instantiated with wrong parameters");
  54. $stop;
  55. end
  56. instantiated_with_wrong_parameters_error_see_comment_above
  57. inusepackets_check ( .error(1'b1) );
  58. end
  59. if (inDataWidth != 34)
  60. begin
  61. initial begin
  62. $display("Generated module instantiated with wrong parameters");
  63. $stop;
  64. end
  65. instantiated_with_wrong_parameters_error_see_comment_above
  66. indatawidth_check ( .error(1'b1) );
  67. end
  68. if (inChannelWidth != 0)
  69. begin
  70. initial begin
  71. $display("Generated module instantiated with wrong parameters");
  72. $stop;
  73. end
  74. instantiated_with_wrong_parameters_error_see_comment_above
  75. inchannelwidth_check ( .error(1'b1) );
  76. end
  77. if (inErrorWidth != 0)
  78. begin
  79. initial begin
  80. $display("Generated module instantiated with wrong parameters");
  81. $stop;
  82. end
  83. instantiated_with_wrong_parameters_error_see_comment_above
  84. inerrorwidth_check ( .error(1'b1) );
  85. end
  86. if (inUseEmptyPort != 0)
  87. begin
  88. initial begin
  89. $display("Generated module instantiated with wrong parameters");
  90. $stop;
  91. end
  92. instantiated_with_wrong_parameters_error_see_comment_above
  93. inuseemptyport_check ( .error(1'b1) );
  94. end
  95. if (inUseValid != 1)
  96. begin
  97. initial begin
  98. $display("Generated module instantiated with wrong parameters");
  99. $stop;
  100. end
  101. instantiated_with_wrong_parameters_error_see_comment_above
  102. inusevalid_check ( .error(1'b1) );
  103. end
  104. if (inUseReady != 1)
  105. begin
  106. initial begin
  107. $display("Generated module instantiated with wrong parameters");
  108. $stop;
  109. end
  110. instantiated_with_wrong_parameters_error_see_comment_above
  111. inuseready_check ( .error(1'b1) );
  112. end
  113. if (inReadyLatency != 0)
  114. begin
  115. initial begin
  116. $display("Generated module instantiated with wrong parameters");
  117. $stop;
  118. end
  119. instantiated_with_wrong_parameters_error_see_comment_above
  120. inreadylatency_check ( .error(1'b1) );
  121. end
  122. if (outDataWidth != 34)
  123. begin
  124. initial begin
  125. $display("Generated module instantiated with wrong parameters");
  126. $stop;
  127. end
  128. instantiated_with_wrong_parameters_error_see_comment_above
  129. outdatawidth_check ( .error(1'b1) );
  130. end
  131. if (outChannelWidth != 0)
  132. begin
  133. initial begin
  134. $display("Generated module instantiated with wrong parameters");
  135. $stop;
  136. end
  137. instantiated_with_wrong_parameters_error_see_comment_above
  138. outchannelwidth_check ( .error(1'b1) );
  139. end
  140. if (outErrorWidth != 1)
  141. begin
  142. initial begin
  143. $display("Generated module instantiated with wrong parameters");
  144. $stop;
  145. end
  146. instantiated_with_wrong_parameters_error_see_comment_above
  147. outerrorwidth_check ( .error(1'b1) );
  148. end
  149. if (outUseEmptyPort != 0)
  150. begin
  151. initial begin
  152. $display("Generated module instantiated with wrong parameters");
  153. $stop;
  154. end
  155. instantiated_with_wrong_parameters_error_see_comment_above
  156. outuseemptyport_check ( .error(1'b1) );
  157. end
  158. if (outUseValid != 1)
  159. begin
  160. initial begin
  161. $display("Generated module instantiated with wrong parameters");
  162. $stop;
  163. end
  164. instantiated_with_wrong_parameters_error_see_comment_above
  165. outusevalid_check ( .error(1'b1) );
  166. end
  167. if (outUseReady != 1)
  168. begin
  169. initial begin
  170. $display("Generated module instantiated with wrong parameters");
  171. $stop;
  172. end
  173. instantiated_with_wrong_parameters_error_see_comment_above
  174. outuseready_check ( .error(1'b1) );
  175. end
  176. if (outReadyLatency != 0)
  177. begin
  178. initial begin
  179. $display("Generated module instantiated with wrong parameters");
  180. $stop;
  181. end
  182. instantiated_with_wrong_parameters_error_see_comment_above
  183. outreadylatency_check ( .error(1'b1) );
  184. end
  185. endgenerate
  186. nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0 error_adapter_0 (
  187. .clk (in_clk_0_clk), // clk.clk
  188. .reset_n (~in_rst_0_reset), // reset.reset_n
  189. .in_data (in_0_data), // in.data
  190. .in_valid (in_0_valid), // .valid
  191. .in_ready (in_0_ready), // .ready
  192. .out_data (out_0_data), // out.data
  193. .out_valid (out_0_valid), // .valid
  194. .out_ready (out_0_ready), // .ready
  195. .out_error (out_0_error) // .error
  196. );
  197. endmodule