nios2_uc_jtag_uart.v 16 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588
  1. //Legal Notice: (C)2020 Altera Corporation. All rights reserved. Your
  2. //use of Altera Corporation's design tools, logic functions and other
  3. //software and tools, and its AMPP partner logic functions, and any
  4. //output files any of the foregoing (including device programming or
  5. //simulation files), and any associated documentation or information are
  6. //expressly subject to the terms and conditions of the Altera Program
  7. //License Subscription Agreement or other applicable license agreement,
  8. //including, without limitation, that your use is for the sole purpose
  9. //of programming logic devices manufactured by Altera and sold by Altera
  10. //or its authorized distributors. Please refer to the applicable
  11. //agreement for further details.
  12. // synthesis translate_off
  13. `timescale 1ns / 1ps
  14. // synthesis translate_on
  15. // turn off superfluous verilog processor warnings
  16. // altera message_level Level1
  17. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  18. module nios2_uc_jtag_uart_sim_scfifo_w (
  19. // inputs:
  20. clk,
  21. fifo_wdata,
  22. fifo_wr,
  23. // outputs:
  24. fifo_FF,
  25. r_dat,
  26. wfifo_empty,
  27. wfifo_used
  28. )
  29. ;
  30. output fifo_FF;
  31. output [ 7: 0] r_dat;
  32. output wfifo_empty;
  33. output [ 5: 0] wfifo_used;
  34. input clk;
  35. input [ 7: 0] fifo_wdata;
  36. input fifo_wr;
  37. wire fifo_FF;
  38. wire [ 7: 0] r_dat;
  39. wire wfifo_empty;
  40. wire [ 5: 0] wfifo_used;
  41. //synthesis translate_off
  42. //////////////// SIMULATION-ONLY CONTENTS
  43. always @(posedge clk)
  44. begin
  45. if (fifo_wr)
  46. $write("%c", fifo_wdata);
  47. end
  48. assign wfifo_used = {6{1'b0}};
  49. assign r_dat = {8{1'b0}};
  50. assign fifo_FF = 1'b0;
  51. assign wfifo_empty = 1'b1;
  52. //////////////// END SIMULATION-ONLY CONTENTS
  53. //synthesis translate_on
  54. endmodule
  55. // synthesis translate_off
  56. `timescale 1ns / 1ps
  57. // synthesis translate_on
  58. // turn off superfluous verilog processor warnings
  59. // altera message_level Level1
  60. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  61. module nios2_uc_jtag_uart_scfifo_w (
  62. // inputs:
  63. clk,
  64. fifo_clear,
  65. fifo_wdata,
  66. fifo_wr,
  67. rd_wfifo,
  68. // outputs:
  69. fifo_FF,
  70. r_dat,
  71. wfifo_empty,
  72. wfifo_used
  73. )
  74. ;
  75. output fifo_FF;
  76. output [ 7: 0] r_dat;
  77. output wfifo_empty;
  78. output [ 5: 0] wfifo_used;
  79. input clk;
  80. input fifo_clear;
  81. input [ 7: 0] fifo_wdata;
  82. input fifo_wr;
  83. input rd_wfifo;
  84. wire fifo_FF;
  85. wire [ 7: 0] r_dat;
  86. wire wfifo_empty;
  87. wire [ 5: 0] wfifo_used;
  88. //synthesis translate_off
  89. //////////////// SIMULATION-ONLY CONTENTS
  90. nios2_uc_jtag_uart_sim_scfifo_w the_nios2_uc_jtag_uart_sim_scfifo_w
  91. (
  92. .clk (clk),
  93. .fifo_FF (fifo_FF),
  94. .fifo_wdata (fifo_wdata),
  95. .fifo_wr (fifo_wr),
  96. .r_dat (r_dat),
  97. .wfifo_empty (wfifo_empty),
  98. .wfifo_used (wfifo_used)
  99. );
  100. //////////////// END SIMULATION-ONLY CONTENTS
  101. //synthesis translate_on
  102. //synthesis read_comments_as_HDL on
  103. // scfifo wfifo
  104. // (
  105. // .aclr (fifo_clear),
  106. // .clock (clk),
  107. // .data (fifo_wdata),
  108. // .empty (wfifo_empty),
  109. // .full (fifo_FF),
  110. // .q (r_dat),
  111. // .rdreq (rd_wfifo),
  112. // .usedw (wfifo_used),
  113. // .wrreq (fifo_wr)
  114. // );
  115. //
  116. // defparam wfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO",
  117. // wfifo.lpm_numwords = 64,
  118. // wfifo.lpm_showahead = "OFF",
  119. // wfifo.lpm_type = "scfifo",
  120. // wfifo.lpm_width = 8,
  121. // wfifo.lpm_widthu = 6,
  122. // wfifo.overflow_checking = "OFF",
  123. // wfifo.underflow_checking = "OFF",
  124. // wfifo.use_eab = "ON";
  125. //
  126. //synthesis read_comments_as_HDL off
  127. endmodule
  128. // synthesis translate_off
  129. `timescale 1ns / 1ps
  130. // synthesis translate_on
  131. // turn off superfluous verilog processor warnings
  132. // altera message_level Level1
  133. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  134. module nios2_uc_jtag_uart_sim_scfifo_r (
  135. // inputs:
  136. clk,
  137. fifo_rd,
  138. rst_n,
  139. // outputs:
  140. fifo_EF,
  141. fifo_rdata,
  142. rfifo_full,
  143. rfifo_used
  144. )
  145. ;
  146. output fifo_EF;
  147. output [ 7: 0] fifo_rdata;
  148. output rfifo_full;
  149. output [ 5: 0] rfifo_used;
  150. input clk;
  151. input fifo_rd;
  152. input rst_n;
  153. reg [ 31: 0] bytes_left;
  154. wire fifo_EF;
  155. reg fifo_rd_d;
  156. wire [ 7: 0] fifo_rdata;
  157. wire new_rom;
  158. wire [ 31: 0] num_bytes;
  159. wire [ 6: 0] rfifo_entries;
  160. wire rfifo_full;
  161. wire [ 5: 0] rfifo_used;
  162. //synthesis translate_off
  163. //////////////// SIMULATION-ONLY CONTENTS
  164. // Generate rfifo_entries for simulation
  165. always @(posedge clk or negedge rst_n)
  166. begin
  167. if (rst_n == 0)
  168. begin
  169. bytes_left <= 32'h0;
  170. fifo_rd_d <= 1'b0;
  171. end
  172. else
  173. begin
  174. fifo_rd_d <= fifo_rd;
  175. // decrement on read
  176. if (fifo_rd_d)
  177. bytes_left <= bytes_left - 1'b1;
  178. // catch new contents
  179. if (new_rom)
  180. bytes_left <= num_bytes;
  181. end
  182. end
  183. assign fifo_EF = bytes_left == 32'b0;
  184. assign rfifo_full = bytes_left > 7'h40;
  185. assign rfifo_entries = (rfifo_full) ? 7'h40 : bytes_left;
  186. assign rfifo_used = rfifo_entries[5 : 0];
  187. assign new_rom = 1'b0;
  188. assign num_bytes = 32'b0;
  189. assign fifo_rdata = 8'b0;
  190. //////////////// END SIMULATION-ONLY CONTENTS
  191. //synthesis translate_on
  192. endmodule
  193. // synthesis translate_off
  194. `timescale 1ns / 1ps
  195. // synthesis translate_on
  196. // turn off superfluous verilog processor warnings
  197. // altera message_level Level1
  198. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  199. module nios2_uc_jtag_uart_scfifo_r (
  200. // inputs:
  201. clk,
  202. fifo_clear,
  203. fifo_rd,
  204. rst_n,
  205. t_dat,
  206. wr_rfifo,
  207. // outputs:
  208. fifo_EF,
  209. fifo_rdata,
  210. rfifo_full,
  211. rfifo_used
  212. )
  213. ;
  214. output fifo_EF;
  215. output [ 7: 0] fifo_rdata;
  216. output rfifo_full;
  217. output [ 5: 0] rfifo_used;
  218. input clk;
  219. input fifo_clear;
  220. input fifo_rd;
  221. input rst_n;
  222. input [ 7: 0] t_dat;
  223. input wr_rfifo;
  224. wire fifo_EF;
  225. wire [ 7: 0] fifo_rdata;
  226. wire rfifo_full;
  227. wire [ 5: 0] rfifo_used;
  228. //synthesis translate_off
  229. //////////////// SIMULATION-ONLY CONTENTS
  230. nios2_uc_jtag_uart_sim_scfifo_r the_nios2_uc_jtag_uart_sim_scfifo_r
  231. (
  232. .clk (clk),
  233. .fifo_EF (fifo_EF),
  234. .fifo_rd (fifo_rd),
  235. .fifo_rdata (fifo_rdata),
  236. .rfifo_full (rfifo_full),
  237. .rfifo_used (rfifo_used),
  238. .rst_n (rst_n)
  239. );
  240. //////////////// END SIMULATION-ONLY CONTENTS
  241. //synthesis translate_on
  242. //synthesis read_comments_as_HDL on
  243. // scfifo rfifo
  244. // (
  245. // .aclr (fifo_clear),
  246. // .clock (clk),
  247. // .data (t_dat),
  248. // .empty (fifo_EF),
  249. // .full (rfifo_full),
  250. // .q (fifo_rdata),
  251. // .rdreq (fifo_rd),
  252. // .usedw (rfifo_used),
  253. // .wrreq (wr_rfifo)
  254. // );
  255. //
  256. // defparam rfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO",
  257. // rfifo.lpm_numwords = 64,
  258. // rfifo.lpm_showahead = "OFF",
  259. // rfifo.lpm_type = "scfifo",
  260. // rfifo.lpm_width = 8,
  261. // rfifo.lpm_widthu = 6,
  262. // rfifo.overflow_checking = "OFF",
  263. // rfifo.underflow_checking = "OFF",
  264. // rfifo.use_eab = "ON";
  265. //
  266. //synthesis read_comments_as_HDL off
  267. endmodule
  268. // synthesis translate_off
  269. `timescale 1ns / 1ps
  270. // synthesis translate_on
  271. // turn off superfluous verilog processor warnings
  272. // altera message_level Level1
  273. // altera message_off 10034 10035 10036 10037 10230 10240 10030
  274. module nios2_uc_jtag_uart (
  275. // inputs:
  276. av_address,
  277. av_chipselect,
  278. av_read_n,
  279. av_write_n,
  280. av_writedata,
  281. clk,
  282. rst_n,
  283. // outputs:
  284. av_irq,
  285. av_readdata,
  286. av_waitrequest,
  287. dataavailable,
  288. readyfordata
  289. )
  290. /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R101,C106,D101,D103\"" */ ;
  291. output av_irq;
  292. output [ 31: 0] av_readdata;
  293. output av_waitrequest;
  294. output dataavailable;
  295. output readyfordata;
  296. input av_address;
  297. input av_chipselect;
  298. input av_read_n;
  299. input av_write_n;
  300. input [ 31: 0] av_writedata;
  301. input clk;
  302. input rst_n;
  303. reg ac;
  304. wire activity;
  305. wire av_irq;
  306. wire [ 31: 0] av_readdata;
  307. reg av_waitrequest;
  308. reg dataavailable;
  309. reg fifo_AE;
  310. reg fifo_AF;
  311. wire fifo_EF;
  312. wire fifo_FF;
  313. wire fifo_clear;
  314. wire fifo_rd;
  315. wire [ 7: 0] fifo_rdata;
  316. wire [ 7: 0] fifo_wdata;
  317. reg fifo_wr;
  318. reg ien_AE;
  319. reg ien_AF;
  320. wire ipen_AE;
  321. wire ipen_AF;
  322. reg pause_irq;
  323. wire [ 7: 0] r_dat;
  324. wire r_ena;
  325. reg r_val;
  326. wire rd_wfifo;
  327. reg read_0;
  328. reg readyfordata;
  329. wire rfifo_full;
  330. wire [ 5: 0] rfifo_used;
  331. reg rvalid;
  332. reg sim_r_ena;
  333. reg sim_t_dat;
  334. reg sim_t_ena;
  335. reg sim_t_pause;
  336. wire [ 7: 0] t_dat;
  337. reg t_dav;
  338. wire t_ena;
  339. wire t_pause;
  340. wire wfifo_empty;
  341. wire [ 5: 0] wfifo_used;
  342. reg woverflow;
  343. wire wr_rfifo;
  344. //avalon_jtag_slave, which is an e_avalon_slave
  345. assign rd_wfifo = r_ena & ~wfifo_empty;
  346. assign wr_rfifo = t_ena & ~rfifo_full;
  347. assign fifo_clear = ~rst_n;
  348. nios2_uc_jtag_uart_scfifo_w the_nios2_uc_jtag_uart_scfifo_w
  349. (
  350. .clk (clk),
  351. .fifo_FF (fifo_FF),
  352. .fifo_clear (fifo_clear),
  353. .fifo_wdata (fifo_wdata),
  354. .fifo_wr (fifo_wr),
  355. .r_dat (r_dat),
  356. .rd_wfifo (rd_wfifo),
  357. .wfifo_empty (wfifo_empty),
  358. .wfifo_used (wfifo_used)
  359. );
  360. nios2_uc_jtag_uart_scfifo_r the_nios2_uc_jtag_uart_scfifo_r
  361. (
  362. .clk (clk),
  363. .fifo_EF (fifo_EF),
  364. .fifo_clear (fifo_clear),
  365. .fifo_rd (fifo_rd),
  366. .fifo_rdata (fifo_rdata),
  367. .rfifo_full (rfifo_full),
  368. .rfifo_used (rfifo_used),
  369. .rst_n (rst_n),
  370. .t_dat (t_dat),
  371. .wr_rfifo (wr_rfifo)
  372. );
  373. assign ipen_AE = ien_AE & fifo_AE;
  374. assign ipen_AF = ien_AF & (pause_irq | fifo_AF);
  375. assign av_irq = ipen_AE | ipen_AF;
  376. assign activity = t_pause | t_ena;
  377. always @(posedge clk or negedge rst_n)
  378. begin
  379. if (rst_n == 0)
  380. pause_irq <= 1'b0;
  381. else // only if fifo is not empty...
  382. if (t_pause & ~fifo_EF)
  383. pause_irq <= 1'b1;
  384. else if (read_0)
  385. pause_irq <= 1'b0;
  386. end
  387. always @(posedge clk or negedge rst_n)
  388. begin
  389. if (rst_n == 0)
  390. begin
  391. r_val <= 1'b0;
  392. t_dav <= 1'b1;
  393. end
  394. else
  395. begin
  396. r_val <= r_ena & ~wfifo_empty;
  397. t_dav <= ~rfifo_full;
  398. end
  399. end
  400. always @(posedge clk or negedge rst_n)
  401. begin
  402. if (rst_n == 0)
  403. begin
  404. fifo_AE <= 1'b0;
  405. fifo_AF <= 1'b0;
  406. fifo_wr <= 1'b0;
  407. rvalid <= 1'b0;
  408. read_0 <= 1'b0;
  409. ien_AE <= 1'b0;
  410. ien_AF <= 1'b0;
  411. ac <= 1'b0;
  412. woverflow <= 1'b0;
  413. av_waitrequest <= 1'b1;
  414. end
  415. else
  416. begin
  417. fifo_AE <= {fifo_FF,wfifo_used} <= 8;
  418. fifo_AF <= (7'h40 - {rfifo_full,rfifo_used}) <= 8;
  419. fifo_wr <= 1'b0;
  420. read_0 <= 1'b0;
  421. av_waitrequest <= ~(av_chipselect & (~av_write_n | ~av_read_n) & av_waitrequest);
  422. if (activity)
  423. ac <= 1'b1;
  424. // write
  425. if (av_chipselect & ~av_write_n & av_waitrequest)
  426. // addr 1 is control; addr 0 is data
  427. if (av_address)
  428. begin
  429. ien_AF <= av_writedata[0];
  430. ien_AE <= av_writedata[1];
  431. if (av_writedata[10] & ~activity)
  432. ac <= 1'b0;
  433. end
  434. else
  435. begin
  436. fifo_wr <= ~fifo_FF;
  437. woverflow <= fifo_FF;
  438. end
  439. // read
  440. if (av_chipselect & ~av_read_n & av_waitrequest)
  441. begin
  442. // addr 1 is interrupt; addr 0 is data
  443. if (~av_address)
  444. rvalid <= ~fifo_EF;
  445. read_0 <= ~av_address;
  446. end
  447. end
  448. end
  449. assign fifo_wdata = av_writedata[7 : 0];
  450. assign fifo_rd = (av_chipselect & ~av_read_n & av_waitrequest & ~av_address) ? ~fifo_EF : 1'b0;
  451. assign av_readdata = read_0 ? { {9{1'b0}},rfifo_full,rfifo_used,rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,fifo_rdata } : { {9{1'b0}},(7'h40 - {fifo_FF,wfifo_used}),rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,{6{1'b0}},ien_AE,ien_AF };
  452. always @(posedge clk or negedge rst_n)
  453. begin
  454. if (rst_n == 0)
  455. readyfordata <= 0;
  456. else
  457. readyfordata <= ~fifo_FF;
  458. end
  459. //synthesis translate_off
  460. //////////////// SIMULATION-ONLY CONTENTS
  461. // Tie off Atlantic Interface signals not used for simulation
  462. always @(posedge clk)
  463. begin
  464. sim_t_pause <= 1'b0;
  465. sim_t_ena <= 1'b0;
  466. sim_t_dat <= t_dav ? r_dat : {8{r_val}};
  467. sim_r_ena <= 1'b0;
  468. end
  469. assign r_ena = sim_r_ena;
  470. assign t_ena = sim_t_ena;
  471. assign t_dat = sim_t_dat;
  472. assign t_pause = sim_t_pause;
  473. always @(fifo_EF)
  474. begin
  475. dataavailable = ~fifo_EF;
  476. end
  477. //////////////// END SIMULATION-ONLY CONTENTS
  478. //synthesis translate_on
  479. //synthesis read_comments_as_HDL on
  480. // alt_jtag_atlantic nios2_uc_jtag_uart_alt_jtag_atlantic
  481. // (
  482. // .clk (clk),
  483. // .r_dat (r_dat),
  484. // .r_ena (r_ena),
  485. // .r_val (r_val),
  486. // .rst_n (rst_n),
  487. // .t_dat (t_dat),
  488. // .t_dav (t_dav),
  489. // .t_ena (t_ena),
  490. // .t_pause (t_pause)
  491. // );
  492. //
  493. // defparam nios2_uc_jtag_uart_alt_jtag_atlantic.INSTANCE_ID = 0,
  494. // nios2_uc_jtag_uart_alt_jtag_atlantic.LOG2_RXFIFO_DEPTH = 6,
  495. // nios2_uc_jtag_uart_alt_jtag_atlantic.LOG2_TXFIFO_DEPTH = 6,
  496. // nios2_uc_jtag_uart_alt_jtag_atlantic.SLD_AUTO_INSTANCE_INDEX = "YES";
  497. //
  498. // always @(posedge clk or negedge rst_n)
  499. // begin
  500. // if (rst_n == 0)
  501. // dataavailable <= 0;
  502. // else
  503. // dataavailable <= ~fifo_EF;
  504. // end
  505. //
  506. //
  507. //synthesis read_comments_as_HDL off
  508. endmodule