nios2_uc.qsys 37 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <system name="$${FILENAME}">
  3. <component
  4. name="$${FILENAME}"
  5. displayName="$${FILENAME}"
  6. version="1.0"
  7. description=""
  8. tags=""
  9. categories="" />
  10. <parameter name="bonusData"><![CDATA[bonusData
  11. {
  12. element clk_50
  13. {
  14. datum _sortIndex
  15. {
  16. value = "0";
  17. type = "int";
  18. }
  19. }
  20. element jtag_uart
  21. {
  22. datum _sortIndex
  23. {
  24. value = "3";
  25. type = "int";
  26. }
  27. }
  28. element jtag_uart.avalon_jtag_slave
  29. {
  30. datum baseAddress
  31. {
  32. value = "528424";
  33. type = "String";
  34. }
  35. }
  36. element nios2
  37. {
  38. datum _sortIndex
  39. {
  40. value = "1";
  41. type = "int";
  42. }
  43. }
  44. element nios2.debug_mem_slave
  45. {
  46. datum baseAddress
  47. {
  48. value = "526336";
  49. type = "String";
  50. }
  51. }
  52. element onchip_memory2
  53. {
  54. datum _sortIndex
  55. {
  56. value = "2";
  57. type = "int";
  58. }
  59. }
  60. element onchip_memory2.s1
  61. {
  62. datum baseAddress
  63. {
  64. value = "262144";
  65. type = "String";
  66. }
  67. }
  68. element pio_LED
  69. {
  70. datum _sortIndex
  71. {
  72. value = "4";
  73. type = "int";
  74. }
  75. }
  76. element pio_LED.s1
  77. {
  78. datum baseAddress
  79. {
  80. value = "528400";
  81. type = "String";
  82. }
  83. }
  84. }
  85. ]]></parameter>
  86. <parameter name="clockCrossingAdapter" value="HANDSHAKE" />
  87. <parameter name="device" value="EP4CE115F29C7" />
  88. <parameter name="deviceFamily" value="Cyclone IV E" />
  89. <parameter name="deviceSpeedGrade" value="7" />
  90. <parameter name="fabricMode" value="QSYS" />
  91. <parameter name="generateLegacySim" value="false" />
  92. <parameter name="generationId" value="0" />
  93. <parameter name="globalResetBus" value="false" />
  94. <parameter name="hdlLanguage" value="VERILOG" />
  95. <parameter name="hideFromIPCatalog" value="false" />
  96. <parameter name="lockedInterfaceDefinition" value="" />
  97. <parameter name="maxAdditionalLatency" value="1" />
  98. <parameter name="projectName" value="" />
  99. <parameter name="sopcBorderPoints" value="false" />
  100. <parameter name="systemHash" value="0" />
  101. <parameter name="testBenchDutName" value="" />
  102. <parameter name="timeStamp" value="0" />
  103. <parameter name="useTestBenchNamingPattern" value="false" />
  104. <instanceScript></instanceScript>
  105. <interface name="clk" internal="clk_50.clk_in" type="clock" dir="end" />
  106. <interface
  107. name="pio_led_ext_conn"
  108. internal="pio_LED.external_connection"
  109. type="conduit"
  110. dir="end" />
  111. <interface name="reset" internal="clk_50.clk_in_reset" type="reset" dir="end" />
  112. <module name="clk_50" kind="clock_source" version="18.1" enabled="1">
  113. <parameter name="clockFrequency" value="50000000" />
  114. <parameter name="clockFrequencyKnown" value="true" />
  115. <parameter name="inputClockFrequency" value="0" />
  116. <parameter name="resetSynchronousEdges" value="NONE" />
  117. </module>
  118. <module
  119. name="jtag_uart"
  120. kind="altera_avalon_jtag_uart"
  121. version="18.1"
  122. enabled="1">
  123. <parameter name="allowMultipleConnections" value="false" />
  124. <parameter name="avalonSpec" value="2.0" />
  125. <parameter name="clkFreq" value="50000000" />
  126. <parameter name="hubInstanceID" value="0" />
  127. <parameter name="readBufferDepth" value="64" />
  128. <parameter name="readIRQThreshold" value="8" />
  129. <parameter name="simInputCharacterStream" value="" />
  130. <parameter name="simInteractiveOptions">NO_INTERACTIVE_WINDOWS</parameter>
  131. <parameter name="useRegistersForReadBuffer" value="false" />
  132. <parameter name="useRegistersForWriteBuffer" value="false" />
  133. <parameter name="useRelativePathForSimFile" value="false" />
  134. <parameter name="writeBufferDepth" value="64" />
  135. <parameter name="writeIRQThreshold" value="8" />
  136. </module>
  137. <module name="nios2" kind="altera_nios2_gen2" version="18.1" enabled="1">
  138. <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" />
  139. <parameter name="AUTO_CLK_RESET_DOMAIN" value="1" />
  140. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  141. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="7" />
  142. <parameter name="bht_ramBlockType" value="Automatic" />
  143. <parameter name="breakOffset" value="32" />
  144. <parameter name="breakSlave" value="None" />
  145. <parameter name="cdx_enabled" value="false" />
  146. <parameter name="clockFrequency" value="50000000" />
  147. <parameter name="cpuArchRev" value="1" />
  148. <parameter name="cpuID" value="0" />
  149. <parameter name="cpuReset" value="false" />
  150. <parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
  151. <parameter name="customInstSlavesSystemInfo_nios_a" value="&lt;info/&gt;" />
  152. <parameter name="customInstSlavesSystemInfo_nios_b" value="&lt;info/&gt;" />
  153. <parameter name="customInstSlavesSystemInfo_nios_c" value="&lt;info/&gt;" />
  154. <parameter name="dataAddrWidth" value="20" />
  155. <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
  156. <parameter name="dataMasterHighPerformanceMapParam" value="" />
  157. <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_LED.s1' start='0x81010' end='0x81020' type='altera_avalon_pio.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81028' end='0x81030' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
  158. <parameter name="data_master_high_performance_paddr_base" value="0" />
  159. <parameter name="data_master_high_performance_paddr_size" value="0" />
  160. <parameter name="data_master_paddr_base" value="0" />
  161. <parameter name="data_master_paddr_size" value="0" />
  162. <parameter name="dcache_bursts" value="false" />
  163. <parameter name="dcache_numTCDM" value="0" />
  164. <parameter name="dcache_ramBlockType" value="Automatic" />
  165. <parameter name="dcache_size" value="2048" />
  166. <parameter name="dcache_tagramBlockType" value="Automatic" />
  167. <parameter name="dcache_victim_buf_impl" value="ram" />
  168. <parameter name="debug_OCIOnchipTrace" value="_128" />
  169. <parameter name="debug_assignJtagInstanceID" value="false" />
  170. <parameter name="debug_datatrigger" value="0" />
  171. <parameter name="debug_debugReqSignals" value="false" />
  172. <parameter name="debug_enabled" value="true" />
  173. <parameter name="debug_hwbreakpoint" value="0" />
  174. <parameter name="debug_jtagInstanceID" value="0" />
  175. <parameter name="debug_traceStorage" value="onchip_trace" />
  176. <parameter name="debug_traceType" value="none" />
  177. <parameter name="debug_triggerArming" value="true" />
  178. <parameter name="deviceFamilyName" value="Cyclone IV E" />
  179. <parameter name="deviceFeaturesSystemInfo">ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter>
  180. <parameter name="dividerType" value="no_div" />
  181. <parameter name="exceptionOffset" value="32" />
  182. <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  183. <parameter name="faAddrWidth" value="1" />
  184. <parameter name="faSlaveMapParam" value="" />
  185. <parameter name="fa_cache_line" value="2" />
  186. <parameter name="fa_cache_linesize" value="0" />
  187. <parameter name="flash_instruction_master_paddr_base" value="0" />
  188. <parameter name="flash_instruction_master_paddr_size" value="0" />
  189. <parameter name="icache_burstType" value="None" />
  190. <parameter name="icache_numTCIM" value="0" />
  191. <parameter name="icache_ramBlockType" value="Automatic" />
  192. <parameter name="icache_size" value="4096" />
  193. <parameter name="icache_tagramBlockType" value="Automatic" />
  194. <parameter name="impl" value="Tiny" />
  195. <parameter name="instAddrWidth" value="20" />
  196. <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_LED.s1' start='0x81010' end='0x81020' type='altera_avalon_pio.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81028' end='0x81030' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
  197. <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
  198. <parameter name="instructionMasterHighPerformanceMapParam" value="" />
  199. <parameter name="instruction_master_high_performance_paddr_base" value="0" />
  200. <parameter name="instruction_master_high_performance_paddr_size" value="0" />
  201. <parameter name="instruction_master_paddr_base" value="0" />
  202. <parameter name="instruction_master_paddr_size" value="0" />
  203. <parameter name="internalIrqMaskSystemInfo" value="1" />
  204. <parameter name="io_regionbase" value="0" />
  205. <parameter name="io_regionsize" value="0" />
  206. <parameter name="master_addr_map" value="false" />
  207. <parameter name="mmu_TLBMissExcOffset" value="0" />
  208. <parameter name="mmu_TLBMissExcSlave" value="None" />
  209. <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  210. <parameter name="mmu_enabled" value="false" />
  211. <parameter name="mmu_processIDNumBits" value="8" />
  212. <parameter name="mmu_ramBlockType" value="Automatic" />
  213. <parameter name="mmu_tlbNumWays" value="16" />
  214. <parameter name="mmu_tlbPtrSz" value="7" />
  215. <parameter name="mmu_udtlbNumEntries" value="6" />
  216. <parameter name="mmu_uitlbNumEntries" value="4" />
  217. <parameter name="mpu_enabled" value="false" />
  218. <parameter name="mpu_minDataRegionSize" value="12" />
  219. <parameter name="mpu_minInstRegionSize" value="12" />
  220. <parameter name="mpu_numOfDataRegion" value="8" />
  221. <parameter name="mpu_numOfInstRegion" value="8" />
  222. <parameter name="mpu_useLimit" value="false" />
  223. <parameter name="mpx_enabled" value="false" />
  224. <parameter name="mul_32_impl" value="2" />
  225. <parameter name="mul_64_impl" value="0" />
  226. <parameter name="mul_shift_choice" value="0" />
  227. <parameter name="ocimem_ramBlockType" value="Automatic" />
  228. <parameter name="ocimem_ramInit" value="false" />
  229. <parameter name="regfile_ramBlockType" value="Automatic" />
  230. <parameter name="register_file_por" value="false" />
  231. <parameter name="resetOffset" value="0" />
  232. <parameter name="resetSlave" value="onchip_memory2.s1" />
  233. <parameter name="resetrequest_enabled" value="true" />
  234. <parameter name="setting_HBreakTest" value="false" />
  235. <parameter name="setting_HDLSimCachesCleared" value="true" />
  236. <parameter name="setting_activateMonitors" value="true" />
  237. <parameter name="setting_activateTestEndChecker" value="false" />
  238. <parameter name="setting_activateTrace" value="false" />
  239. <parameter name="setting_allow_break_inst" value="false" />
  240. <parameter name="setting_alwaysEncrypt" value="true" />
  241. <parameter name="setting_asic_add_scan_mode_input" value="false" />
  242. <parameter name="setting_asic_enabled" value="false" />
  243. <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  244. <parameter name="setting_asic_third_party_synthesis" value="false" />
  245. <parameter name="setting_avalonDebugPortPresent" value="false" />
  246. <parameter name="setting_bhtPtrSz" value="8" />
  247. <parameter name="setting_bigEndian" value="false" />
  248. <parameter name="setting_branchpredictiontype" value="Dynamic" />
  249. <parameter name="setting_breakslaveoveride" value="false" />
  250. <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  251. <parameter name="setting_dc_ecc_present" value="true" />
  252. <parameter name="setting_disable_tmr_inj" value="false" />
  253. <parameter name="setting_disableocitrace" value="false" />
  254. <parameter name="setting_dtcm_ecc_present" value="true" />
  255. <parameter name="setting_ecc_present" value="false" />
  256. <parameter name="setting_ecc_sim_test_ports" value="false" />
  257. <parameter name="setting_exportHostDebugPort" value="false" />
  258. <parameter name="setting_exportPCB" value="false" />
  259. <parameter name="setting_export_large_RAMs" value="false" />
  260. <parameter name="setting_exportdebuginfo" value="false" />
  261. <parameter name="setting_exportvectors" value="false" />
  262. <parameter name="setting_fast_register_read" value="false" />
  263. <parameter name="setting_ic_ecc_present" value="true" />
  264. <parameter name="setting_interruptControllerType" value="Internal" />
  265. <parameter name="setting_itcm_ecc_present" value="true" />
  266. <parameter name="setting_mmu_ecc_present" value="true" />
  267. <parameter name="setting_oci_export_jtag_signals" value="false" />
  268. <parameter name="setting_oci_version" value="1" />
  269. <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  270. <parameter name="setting_removeRAMinit" value="false" />
  271. <parameter name="setting_rf_ecc_present" value="true" />
  272. <parameter name="setting_shadowRegisterSets" value="0" />
  273. <parameter name="setting_showInternalSettings" value="false" />
  274. <parameter name="setting_showUnpublishedSettings" value="false" />
  275. <parameter name="setting_support31bitdcachebypass" value="true" />
  276. <parameter name="setting_tmr_output_disable" value="false" />
  277. <parameter name="setting_usedesignware" value="false" />
  278. <parameter name="shift_rot_impl" value="1" />
  279. <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  280. <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  281. <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  282. <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  283. <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  284. <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  285. <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  286. <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  287. <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  288. <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  289. <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  290. <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  291. <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  292. <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  293. <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  294. <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  295. <parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
  296. <parameter name="tightly_coupled_data_master_0_paddr_size" value="0" />
  297. <parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
  298. <parameter name="tightly_coupled_data_master_1_paddr_size" value="0" />
  299. <parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
  300. <parameter name="tightly_coupled_data_master_2_paddr_size" value="0" />
  301. <parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
  302. <parameter name="tightly_coupled_data_master_3_paddr_size" value="0" />
  303. <parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
  304. <parameter name="tightly_coupled_instruction_master_0_paddr_size" value="0" />
  305. <parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
  306. <parameter name="tightly_coupled_instruction_master_1_paddr_size" value="0" />
  307. <parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
  308. <parameter name="tightly_coupled_instruction_master_2_paddr_size" value="0" />
  309. <parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
  310. <parameter name="tightly_coupled_instruction_master_3_paddr_size" value="0" />
  311. <parameter name="tmr_enabled" value="false" />
  312. <parameter name="tracefilename" value="" />
  313. <parameter name="userDefinedSettings" value="" />
  314. </module>
  315. <module
  316. name="onchip_memory2"
  317. kind="altera_avalon_onchip_memory2"
  318. version="18.1"
  319. enabled="1">
  320. <parameter name="allowInSystemMemoryContentEditor" value="false" />
  321. <parameter name="autoInitializationFileName">$${FILENAME}_onchip_memory2</parameter>
  322. <parameter name="blockType" value="AUTO" />
  323. <parameter name="copyInitFile" value="false" />
  324. <parameter name="dataWidth" value="32" />
  325. <parameter name="dataWidth2" value="32" />
  326. <parameter name="deviceFamily" value="Cyclone IV E" />
  327. <parameter name="deviceFeatures">ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter>
  328. <parameter name="dualPort" value="false" />
  329. <parameter name="ecc_enabled" value="false" />
  330. <parameter name="enPRInitMode" value="false" />
  331. <parameter name="enableDiffWidth" value="false" />
  332. <parameter name="initMemContent" value="true" />
  333. <parameter name="initializationFileName" value="onchip_mem.hex" />
  334. <parameter name="instanceID" value="NONE" />
  335. <parameter name="memorySize" value="204800" />
  336. <parameter name="readDuringWriteMode" value="DONT_CARE" />
  337. <parameter name="resetrequest_enabled" value="true" />
  338. <parameter name="simAllowMRAMContentsFile" value="false" />
  339. <parameter name="simMemInitOnlyFilename" value="0" />
  340. <parameter name="singleClockOperation" value="false" />
  341. <parameter name="slave1Latency" value="1" />
  342. <parameter name="slave2Latency" value="1" />
  343. <parameter name="useNonDefaultInitFile" value="false" />
  344. <parameter name="useShallowMemBlocks" value="false" />
  345. <parameter name="writable" value="true" />
  346. </module>
  347. <module name="pio_LED" kind="altera_avalon_pio" version="18.1" enabled="1">
  348. <parameter name="bitClearingEdgeCapReg" value="false" />
  349. <parameter name="bitModifyingOutReg" value="false" />
  350. <parameter name="captureEdge" value="false" />
  351. <parameter name="clockRate" value="50000000" />
  352. <parameter name="direction" value="Output" />
  353. <parameter name="edgeType" value="RISING" />
  354. <parameter name="generateIRQ" value="false" />
  355. <parameter name="irqType" value="LEVEL" />
  356. <parameter name="resetValue" value="0" />
  357. <parameter name="simDoTestBenchWiring" value="false" />
  358. <parameter name="simDrivenValue" value="0" />
  359. <parameter name="width" value="32" />
  360. </module>
  361. <connection
  362. kind="avalon"
  363. version="18.1"
  364. start="nios2.data_master"
  365. end="jtag_uart.avalon_jtag_slave">
  366. <parameter name="arbitrationPriority" value="1" />
  367. <parameter name="baseAddress" value="0x00081028" />
  368. <parameter name="defaultConnection" value="false" />
  369. </connection>
  370. <connection
  371. kind="avalon"
  372. version="18.1"
  373. start="nios2.data_master"
  374. end="nios2.debug_mem_slave">
  375. <parameter name="arbitrationPriority" value="1" />
  376. <parameter name="baseAddress" value="0x00080800" />
  377. <parameter name="defaultConnection" value="false" />
  378. </connection>
  379. <connection
  380. kind="avalon"
  381. version="18.1"
  382. start="nios2.data_master"
  383. end="onchip_memory2.s1">
  384. <parameter name="arbitrationPriority" value="1" />
  385. <parameter name="baseAddress" value="0x00040000" />
  386. <parameter name="defaultConnection" value="false" />
  387. </connection>
  388. <connection
  389. kind="avalon"
  390. version="18.1"
  391. start="nios2.data_master"
  392. end="pio_LED.s1">
  393. <parameter name="arbitrationPriority" value="1" />
  394. <parameter name="baseAddress" value="0x00081010" />
  395. <parameter name="defaultConnection" value="false" />
  396. </connection>
  397. <connection
  398. kind="avalon"
  399. version="18.1"
  400. start="nios2.instruction_master"
  401. end="jtag_uart.avalon_jtag_slave">
  402. <parameter name="arbitrationPriority" value="1" />
  403. <parameter name="baseAddress" value="0x00081028" />
  404. <parameter name="defaultConnection" value="false" />
  405. </connection>
  406. <connection
  407. kind="avalon"
  408. version="18.1"
  409. start="nios2.instruction_master"
  410. end="nios2.debug_mem_slave">
  411. <parameter name="arbitrationPriority" value="1" />
  412. <parameter name="baseAddress" value="0x00080800" />
  413. <parameter name="defaultConnection" value="false" />
  414. </connection>
  415. <connection
  416. kind="avalon"
  417. version="18.1"
  418. start="nios2.instruction_master"
  419. end="pio_LED.s1">
  420. <parameter name="arbitrationPriority" value="1" />
  421. <parameter name="baseAddress" value="0x00081010" />
  422. <parameter name="defaultConnection" value="false" />
  423. </connection>
  424. <connection
  425. kind="avalon"
  426. version="18.1"
  427. start="nios2.instruction_master"
  428. end="onchip_memory2.s1">
  429. <parameter name="arbitrationPriority" value="1" />
  430. <parameter name="baseAddress" value="0x00040000" />
  431. <parameter name="defaultConnection" value="false" />
  432. </connection>
  433. <connection kind="clock" version="18.1" start="clk_50.clk" end="nios2.clk" />
  434. <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_LED.clk" />
  435. <connection kind="clock" version="18.1" start="clk_50.clk" end="jtag_uart.clk" />
  436. <connection
  437. kind="clock"
  438. version="18.1"
  439. start="clk_50.clk"
  440. end="onchip_memory2.clk1" />
  441. <connection kind="interrupt" version="18.1" start="nios2.irq" end="jtag_uart.irq">
  442. <parameter name="irqNumber" value="0" />
  443. </connection>
  444. <connection
  445. kind="reset"
  446. version="18.1"
  447. start="clk_50.clk_reset"
  448. end="nios2.reset" />
  449. <connection
  450. kind="reset"
  451. version="18.1"
  452. start="clk_50.clk_reset"
  453. end="jtag_uart.reset" />
  454. <connection
  455. kind="reset"
  456. version="18.1"
  457. start="clk_50.clk_reset"
  458. end="pio_LED.reset" />
  459. <connection
  460. kind="reset"
  461. version="18.1"
  462. start="clk_50.clk_reset"
  463. end="onchip_memory2.reset1" />
  464. <connection
  465. kind="reset"
  466. version="18.1"
  467. start="nios2.debug_reset_request"
  468. end="nios2.reset" />
  469. <connection
  470. kind="reset"
  471. version="18.1"
  472. start="nios2.debug_reset_request"
  473. end="jtag_uart.reset" />
  474. <connection
  475. kind="reset"
  476. version="18.1"
  477. start="nios2.debug_reset_request"
  478. end="pio_LED.reset" />
  479. <connection
  480. kind="reset"
  481. version="18.1"
  482. start="nios2.debug_reset_request"
  483. end="onchip_memory2.reset1" />
  484. <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
  485. <interconnectRequirement for="$system" name="qsys_mm.enableEccProtection" value="FALSE" />
  486. <interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="FALSE" />
  487. <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
  488. </system>