nios2_uc.xml 714 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796279727982799280028012802280328042805280628072808280928102811281228132814281528162817281828192820282128222823282428252826282728282829283028312832283328342835283628372838283928402841284228432844284528462847284828492850285128522853285428552856285728582859286028612862286328642865286628672868286928702871287228732874287528762877287828792880288128822883288428852886288728882889289028912892289328942895289628972898289929002901290229032904290529062907290829092910291129122913291429152916291729182919292029212922292329242925292629272928292929302931293229332934293529362937293829392940294129422943294429452946294729482949295029512952295329542955295629572958295929602961296229632964296529662967296829692970297129722973297429752976297729782979298029812982298329842985298629872988298929902991299229932994299529962997299829993000300130023003300430053006300730083009301030113012301330143015301630173018301930203021302230233024302530263027302830293030303130323033303430353036303730383039304030413042304330443045304630473048304930503051305230533054305530563057305830593060306130623063306430653066306730683069307030713072307330743075307630773078307930803081308230833084308530863087308830893090309130923093309430953096309730983099310031013102310331043105310631073108310931103111311231133114311531163117311831193120312131223123312431253126312731283129313031313132313331343135313631373138313931403141314231433144314531463147314831493150315131523153315431553156315731583159316031613162316331643165316631673168316931703171317231733174317531763177317831793180318131823183318431853186318731883189319031913192319331943195319631973198319932003201320232033204320532063207320832093210321132123213321432153216321732183219322032213222322332243225322632273228322932303231323232333234323532363237323832393240324132423243324432453246324732483249325032513252325332543255325632573258325932603261326232633264326532663267326832693270327132723273327432753276327732783279328032813282328332843285328632873288328932903291329232933294329532963297329832993300330133023303330433053306330733083309331033113312331333143315331633173318331933203321332233233324332533263327332833293330333133323333333433353336333733383339334033413342334333443345334633473348334933503351335233533354335533563357335833593360336133623363336433653366336733683369337033713372337333743375337633773378337933803381338233833384338533863387338833893390339133923393339433953396339733983399340034013402340334043405340634073408340934103411341234133414341534163417341834193420342134223423342434253426342734283429343034313432343334343435343634373438343934403441344234433444344534463447344834493450345134523453345434553456345734583459346034613462346334643465346634673468346934703471347234733474347534763477347834793480348134823483348434853486348734883489349034913492349334943495349634973498349935003501350235033504350535063507350835093510351135123513351435153516351735183519352035213522352335243525352635273528352935303531353235333534353535363537353835393540354135423543354435453546354735483549355035513552355335543555355635573558355935603561356235633564356535663567356835693570357135723573357435753576357735783579358035813582358335843585358635873588
  1. <?xml version="1.0" encoding="UTF-8"?>
  2. <deploy
  3. date="2020.12.08.21:15:15"
  4. outputDirectory="E:/Data/quartus/pong_20201203/nios2_uc/">
  5. <perimeter>
  6. <parameter
  7. name="AUTO_GENERATION_ID"
  8. type="Integer"
  9. defaultValue="0"
  10. onHdl="0"
  11. affectsHdl="1" />
  12. <parameter
  13. name="AUTO_UNIQUE_ID"
  14. type="String"
  15. defaultValue=""
  16. onHdl="0"
  17. affectsHdl="1" />
  18. <parameter
  19. name="AUTO_DEVICE_FAMILY"
  20. type="String"
  21. defaultValue="Cyclone IV E"
  22. onHdl="0"
  23. affectsHdl="1" />
  24. <parameter
  25. name="AUTO_DEVICE"
  26. type="String"
  27. defaultValue="EP4CE115F29C7"
  28. onHdl="0"
  29. affectsHdl="1" />
  30. <parameter
  31. name="AUTO_DEVICE_SPEEDGRADE"
  32. type="String"
  33. defaultValue="7"
  34. onHdl="0"
  35. affectsHdl="1" />
  36. <parameter
  37. name="AUTO_CLK_CLOCK_RATE"
  38. type="Long"
  39. defaultValue="-1"
  40. onHdl="0"
  41. affectsHdl="1" />
  42. <parameter
  43. name="AUTO_CLK_CLOCK_DOMAIN"
  44. type="Integer"
  45. defaultValue="-1"
  46. onHdl="0"
  47. affectsHdl="1" />
  48. <parameter
  49. name="AUTO_CLK_RESET_DOMAIN"
  50. type="Integer"
  51. defaultValue="-1"
  52. onHdl="0"
  53. affectsHdl="1" />
  54. <interface name="clk" kind="clock" start="0">
  55. <property name="clockRate" value="50000000" />
  56. <property name="externallyDriven" value="false" />
  57. <property name="ptfSchematicName" value="" />
  58. <port name="clk_clk" direction="input" role="clk" width="1" />
  59. </interface>
  60. <interface name="lcd_16207_ext" kind="conduit" start="0">
  61. <property name="associatedClock" value="" />
  62. <property name="associatedReset" value="" />
  63. <port name="lcd_16207_ext_RS" direction="output" role="RS" width="1" />
  64. <port name="lcd_16207_ext_RW" direction="output" role="RW" width="1" />
  65. <port name="lcd_16207_ext_data" direction="bidir" role="data" width="8" />
  66. <port name="lcd_16207_ext_E" direction="output" role="E" width="1" />
  67. </interface>
  68. <interface name="pio_button_ext_conn" kind="conduit" start="0">
  69. <property name="associatedClock" value="" />
  70. <property name="associatedReset" value="" />
  71. <port
  72. name="pio_button_ext_conn_export"
  73. direction="input"
  74. role="export"
  75. width="8" />
  76. </interface>
  77. <interface name="pio_led_ext_conn" kind="conduit" start="0">
  78. <property name="associatedClock" value="" />
  79. <property name="associatedReset" value="" />
  80. <port
  81. name="pio_led_ext_conn_export"
  82. direction="output"
  83. role="export"
  84. width="32" />
  85. </interface>
  86. <interface name="pio_matrix_ext_conn" kind="conduit" start="0">
  87. <property name="associatedClock" value="" />
  88. <property name="associatedReset" value="" />
  89. <port
  90. name="pio_matrix_ext_conn_export"
  91. direction="output"
  92. role="export"
  93. width="20" />
  94. </interface>
  95. <interface name="reset" kind="reset" start="0">
  96. <property name="associatedClock" value="" />
  97. <property name="synchronousEdges" value="NONE" />
  98. <port name="reset_reset_n" direction="input" role="reset_n" width="1" />
  99. </interface>
  100. </perimeter>
  101. <entity
  102. path=""
  103. parameterizationKey="nios2_uc:1.0:AUTO_CLK_CLOCK_DOMAIN=-1,AUTO_CLK_CLOCK_RATE=-1,AUTO_CLK_RESET_DOMAIN=-1,AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_FAMILY=Cyclone IV E,AUTO_DEVICE_SPEEDGRADE=7,AUTO_GENERATION_ID=1607458467,AUTO_UNIQUE_ID=(clock_source:18.1:clockFrequency=50000000,clockFrequencyKnown=true,inputClockFrequency=0,resetSynchronousEdges=NONE)(altera_avalon_jtag_uart:18.1:allowMultipleConnections=false,avalonSpec=2.0,clkFreq=50000000,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8)(altera_avalon_lcd_16207:18.1:)(altera_nios2_gen2:18.1:AUTO_CLK_CLOCK_DOMAIN=1,AUTO_CLK_RESET_DOMAIN=1,AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_SPEEDGRADE=7,bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,customInstSlavesSystemInfo=&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;,customInstSlavesSystemInfo_nios_a=&lt;info/&gt;,customInstSlavesSystemInfo_nios_b=&lt;info/&gt;,customInstSlavesSystemInfo_nios_c=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_size=0,data_master_paddr_base=0,data_master_paddr_size=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_size=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_size=0,instruction_master_paddr_base=0,instruction_master_paddr_size=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,mul_32_impl=2,mul_64_impl=0,mul_shift_choice=0,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchpredictiontype=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disable_tmr_inj=false,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportHostDebugPort=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_tmr_output_disable=false,setting_usedesignware=false,shift_rot_impl=1,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_size=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_size=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_size=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_size=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_size=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_size=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_size=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_size=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=50000000,EXPLICIT_CLOCK_RATE=0,NUM_CLOCK_OUTPUTS=1)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=1,AUTO_CLK_CLOCK_RATE=50000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=1)(altera_nios2_gen2_unit:18.1:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,cpu_name=cpu,customInstSlavesSystemInfo=&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_top=0,data_master_paddr_base=0,data_master_paddr_top=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_top=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_top=0,instruction_master_paddr_base=0,instruction_master_paddr_top=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchPredictionType=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_usedesignware=false,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_top=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_top=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_top=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_top=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_top=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_top=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_top=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_top=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=)(clock:18.1:)(clock:18.1:)(reset:18.1:))(altera_nios_custom_instr_floating_point:18.1:useDivider=1)(altera_avalon_onchip_memory2:18.1:allowInSystemMemoryContentEditor=false,autoInitializationFileName=nios2_uc_onchip_memory2,blockType=AUTO,copyInitFile=false,dataWidth=32,dataWidth2=32,derived_enableDiffWidth=false,derived_gui_ram_block_type=Automatic,derived_init_file_name=nios2_uc_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_addr_width2=16,derived_set_data_width=32,derived_set_data_width2=32,derived_singleClockOperation=false,deviceFamily=Cyclone IV E,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,enPRInitMode=false,enableDiffWidth=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=204800,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true)(altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=true,derived_has_irq=false,derived_has_out=false,derived_has_tri=false,derived_irq_type=NONE,direction=Input,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=8)(altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=false,derived_has_irq=false,derived_has_out=true,derived_has_tri=false,derived_irq_type=NONE,direction=Output,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=32)(altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=false,derived_has_irq=false,derived_has_out=true,derived_has_tri=false,derived_irq_type=NONE,direction=Output,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=20)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081088,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081070,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081060,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081050,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081040,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081088,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081070,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081060,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081050,defaultConnection=false)(avalon:18.1:arbitrationPriority=1,baseAddress=0x00081040,defaultConnection=false)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(interrupt:18.1:irqNumber=0)(nios_custom_instruction:18.1:CIName=nios_custom_instr_floating_point_0,CINameUpgrade=,arbitrationPriority=1,baseAddress=252,opcodeExtensionUpgrade=-1)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)"
  104. instancePathKey="nios2_uc"
  105. kind="nios2_uc"
  106. version="1.0"
  107. name="nios2_uc">
  108. <parameter name="AUTO_CLK_CLOCK_RATE" value="-1" />
  109. <parameter name="AUTO_GENERATION_ID" value="1607458467" />
  110. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  111. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  112. <parameter name="AUTO_CLK_RESET_DOMAIN" value="-1" />
  113. <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="-1" />
  114. <parameter name="AUTO_UNIQUE_ID" value="" />
  115. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="7" />
  116. <generatedFiles>
  117. <file
  118. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/nios2_uc.vhd"
  119. type="VHDL" />
  120. </generatedFiles>
  121. <childGeneratedFiles>
  122. <file
  123. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_jtag_uart.v"
  124. type="VERILOG"
  125. attributes="" />
  126. <file
  127. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_lcd_16207.v"
  128. type="VERILOG"
  129. attributes="" />
  130. <file
  131. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2.v"
  132. type="VERILOG" />
  133. <file
  134. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.sdc"
  135. type="SDC"
  136. attributes="" />
  137. <file
  138. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v"
  139. type="VERILOG"
  140. attributes="" />
  141. <file
  142. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"
  143. type="VERILOG"
  144. attributes="" />
  145. <file
  146. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"
  147. type="VERILOG"
  148. attributes="" />
  149. <file
  150. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"
  151. type="VERILOG"
  152. attributes="" />
  153. <file
  154. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"
  155. type="MIF"
  156. attributes="" />
  157. <file
  158. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"
  159. type="MIF"
  160. attributes="" />
  161. <file
  162. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"
  163. type="MIF"
  164. attributes="" />
  165. <file
  166. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v"
  167. type="VERILOG"
  168. attributes="" />
  169. <file
  170. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/fpoint_wrapper.v"
  171. type="VERILOG" />
  172. <file
  173. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/fpoint_qsys.v"
  174. type="VERILOG" />
  175. <file
  176. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/fpoint_hw_qsys.v"
  177. type="VERILOG" />
  178. <file
  179. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.hex"
  180. type="HEX"
  181. attributes="" />
  182. <file
  183. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.v"
  184. type="VERILOG"
  185. attributes="" />
  186. <file
  187. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_pio_BUTTON.v"
  188. type="VERILOG"
  189. attributes="" />
  190. <file
  191. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_pio_LED.v"
  192. type="VERILOG"
  193. attributes="" />
  194. <file
  195. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_pio_MATRIX.v"
  196. type="VERILOG"
  197. attributes="" />
  198. <file
  199. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_customins_master_translator.v"
  200. type="VERILOG"
  201. attributes="" />
  202. <file
  203. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect.sv"
  204. type="SYSTEM_VERILOG"
  205. attributes="" />
  206. <file
  207. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_customins_slave_translator.sv"
  208. type="SYSTEM_VERILOG"
  209. attributes="" />
  210. <file
  211. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0.v"
  212. type="VERILOG" />
  213. <file
  214. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv"
  215. type="SYSTEM_VERILOG"
  216. attributes="" />
  217. <file
  218. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv"
  219. type="SYSTEM_VERILOG"
  220. attributes="" />
  221. <file
  222. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv"
  223. type="SYSTEM_VERILOG"
  224. attributes="" />
  225. <file
  226. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv"
  227. type="SYSTEM_VERILOG"
  228. attributes="" />
  229. <file
  230. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
  231. type="SYSTEM_VERILOG"
  232. attributes="" />
  233. <file
  234. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_avalon_sc_fifo.v"
  235. type="VERILOG"
  236. attributes="" />
  237. <file
  238. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv"
  239. type="SYSTEM_VERILOG"
  240. attributes="" />
  241. <file
  242. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv"
  243. type="SYSTEM_VERILOG"
  244. attributes="" />
  245. <file
  246. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv"
  247. type="SYSTEM_VERILOG"
  248. attributes="" />
  249. <file
  250. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv"
  251. type="SYSTEM_VERILOG"
  252. attributes="" />
  253. <file
  254. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  255. type="SYSTEM_VERILOG"
  256. attributes="" />
  257. <file
  258. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv"
  259. type="SYSTEM_VERILOG"
  260. attributes="" />
  261. <file
  262. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv"
  263. type="SYSTEM_VERILOG"
  264. attributes="" />
  265. <file
  266. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  267. type="SYSTEM_VERILOG"
  268. attributes="" />
  269. <file
  270. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v"
  271. type="VERILOG" />
  272. <file
  273. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  274. type="SYSTEM_VERILOG"
  275. attributes="" />
  276. <file
  277. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_irq_mapper.sv"
  278. type="SYSTEM_VERILOG"
  279. attributes="" />
  280. <file
  281. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_reset_controller.v"
  282. type="VERILOG"
  283. attributes="" />
  284. <file
  285. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_reset_synchronizer.v"
  286. type="VERILOG"
  287. attributes="" />
  288. <file
  289. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_reset_controller.sdc"
  290. type="SDC"
  291. attributes="" />
  292. </childGeneratedFiles>
  293. <sourceFiles>
  294. <file path="E:/Data/quartus/pong_20201203/nios2_uc.qsys" />
  295. </sourceFiles>
  296. <childSourceFiles>
  297. <file
  298. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
  299. <file
  300. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/altera_avalon_lcd_16207_hw.tcl" />
  301. <file
  302. path="E:/data/quartus/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" />
  303. <file
  304. path="E:/data/quartus/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" />
  305. <file
  306. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_qsys_hw.tcl" />
  307. <file
  308. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_wrapper.v" />
  309. <file
  310. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_qsys.v" />
  311. <file
  312. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_hw_qsys.v" />
  313. <file
  314. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
  315. <file
  316. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  317. <file
  318. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  319. <file
  320. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  321. <file
  322. path="E:/data/quartus/ip/altera/merlin/altera_customins_master_translator/altera_customins_master_translator_hw.tcl" />
  323. <file
  324. path="E:/data/quartus/ip/altera/merlin/altera_customins_xconnect/altera_customins_xconnect_hw.tcl" />
  325. <file
  326. path="E:/data/quartus/ip/altera/merlin/altera_customins_slave_translator/altera_customins_slave_translator_hw.tcl" />
  327. <file
  328. path="E:/data/quartus/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
  329. <file
  330. path="E:/data/quartus/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  331. <file
  332. path="E:/data/quartus/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  333. <file
  334. path="E:/data/quartus/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  335. <file
  336. path="E:/data/quartus/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  337. <file
  338. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
  339. <file
  340. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  341. <file
  342. path="E:/data/quartus/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  343. <file
  344. path="E:/data/quartus/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  345. <file
  346. path="E:/data/quartus/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  347. <file
  348. path="E:/data/quartus/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  349. <file
  350. path="E:/data/quartus/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  351. <file
  352. path="E:/data/quartus/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  353. <file
  354. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" />
  355. <file
  356. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  357. <file
  358. path="E:/data/quartus/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
  359. <file
  360. path="E:/data/quartus/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
  361. </childSourceFiles>
  362. <messages>
  363. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:nios2_uc "nios2_uc"</message>
  364. <message level="Progress" culprit="min"></message>
  365. <message level="Progress" culprit="max"></message>
  366. <message level="Progress" culprit="current"></message>
  367. <message level="Debug">Transform: CustomInstructionTransform</message>
  368. <message level="Progress" culprit="min"></message>
  369. <message level="Progress" culprit="max"></message>
  370. <message level="Progress" culprit="current"></message>
  371. <message level="Progress" culprit="min"></message>
  372. <message level="Progress" culprit="max"></message>
  373. <message level="Progress" culprit="current"></message>
  374. <message level="Progress" culprit="min"></message>
  375. <message level="Progress" culprit="max"></message>
  376. <message level="Progress" culprit="current"></message>
  377. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>12</b> modules, <b>40</b> connections]]></message>
  378. <message level="Debug">Transform: MMTransform</message>
  379. <message level="Debug">Transform: InitialInterconnectTransform</message>
  380. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>8</b> modules, <b>35</b> connections]]></message>
  381. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  382. <message level="Debug">Transform: DefaultSlaveTransform</message>
  383. <message level="Debug">Transform: TranslatorTransform</message>
  384. <message level="Progress" culprit="min"></message>
  385. <message level="Progress" culprit="max"></message>
  386. <message level="Progress" culprit="current"></message>
  387. <message level="Progress" culprit="min"></message>
  388. <message level="Progress" culprit="max"></message>
  389. <message level="Progress" culprit="current"></message>
  390. <message level="Progress" culprit="min"></message>
  391. <message level="Progress" culprit="max"></message>
  392. <message level="Progress" culprit="current"></message>
  393. <message level="Progress" culprit="min"></message>
  394. <message level="Progress" culprit="max"></message>
  395. <message level="Progress" culprit="current"></message>
  396. <message level="Progress" culprit="min"></message>
  397. <message level="Progress" culprit="max"></message>
  398. <message level="Progress" culprit="current"></message>
  399. <message level="Progress" culprit="min"></message>
  400. <message level="Progress" culprit="max"></message>
  401. <message level="Progress" culprit="current"></message>
  402. <message level="Progress" culprit="min"></message>
  403. <message level="Progress" culprit="max"></message>
  404. <message level="Progress" culprit="current"></message>
  405. <message level="Progress" culprit="min"></message>
  406. <message level="Progress" culprit="max"></message>
  407. <message level="Progress" culprit="current"></message>
  408. <message level="Progress" culprit="min"></message>
  409. <message level="Progress" culprit="max"></message>
  410. <message level="Progress" culprit="current"></message>
  411. <message level="Debug" culprit="merlin_translator_transform"><![CDATA[After transform: <b>17</b> modules, <b>71</b> connections]]></message>
  412. <message level="Debug">Transform: IDPadTransform</message>
  413. <message level="Debug">Transform: DomainTransform</message>
  414. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2.data_master and nios2_data_master_translator.avalon_anti_master_0</message>
  415. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2.instruction_master and nios2_instruction_master_translator.avalon_anti_master_0</message>
  416. <message level="Progress" culprit="min"></message>
  417. <message level="Progress" culprit="max"></message>
  418. <message level="Progress" culprit="current"></message>
  419. <message level="Progress" culprit="min"></message>
  420. <message level="Progress" culprit="max"></message>
  421. <message level="Progress" culprit="current"></message>
  422. <message level="Progress" culprit="min"></message>
  423. <message level="Progress" culprit="max"></message>
  424. <message level="Progress" culprit="current"></message>
  425. <message level="Progress" culprit="min"></message>
  426. <message level="Progress" culprit="max"></message>
  427. <message level="Progress" culprit="current"></message>
  428. <message level="Progress" culprit="min"></message>
  429. <message level="Progress" culprit="max"></message>
  430. <message level="Progress" culprit="current"></message>
  431. <message level="Progress" culprit="min"></message>
  432. <message level="Progress" culprit="max"></message>
  433. <message level="Progress" culprit="current"></message>
  434. <message level="Progress" culprit="min"></message>
  435. <message level="Progress" culprit="max"></message>
  436. <message level="Progress" culprit="current"></message>
  437. <message level="Progress" culprit="min"></message>
  438. <message level="Progress" culprit="max"></message>
  439. <message level="Progress" culprit="current"></message>
  440. <message level="Progress" culprit="min"></message>
  441. <message level="Progress" culprit="max"></message>
  442. <message level="Progress" culprit="current"></message>
  443. <message level="Progress" culprit="min"></message>
  444. <message level="Progress" culprit="max"></message>
  445. <message level="Progress" culprit="current"></message>
  446. <message level="Progress" culprit="min"></message>
  447. <message level="Progress" culprit="max"></message>
  448. <message level="Progress" culprit="current"></message>
  449. <message level="Progress" culprit="min"></message>
  450. <message level="Progress" culprit="max"></message>
  451. <message level="Progress" culprit="current"></message>
  452. <message level="Progress" culprit="min"></message>
  453. <message level="Progress" culprit="max"></message>
  454. <message level="Progress" culprit="current"></message>
  455. <message level="Progress" culprit="min"></message>
  456. <message level="Progress" culprit="max"></message>
  457. <message level="Progress" culprit="current"></message>
  458. <message level="Progress" culprit="min"></message>
  459. <message level="Progress" culprit="max"></message>
  460. <message level="Progress" culprit="current"></message>
  461. <message level="Progress" culprit="min"></message>
  462. <message level="Progress" culprit="max"></message>
  463. <message level="Progress" culprit="current"></message>
  464. <message level="Progress" culprit="min"></message>
  465. <message level="Progress" culprit="max"></message>
  466. <message level="Progress" culprit="current"></message>
  467. <message level="Progress" culprit="min"></message>
  468. <message level="Progress" culprit="max"></message>
  469. <message level="Progress" culprit="current"></message>
  470. <message level="Progress" culprit="min"></message>
  471. <message level="Progress" culprit="max"></message>
  472. <message level="Progress" culprit="current"></message>
  473. <message level="Progress" culprit="min"></message>
  474. <message level="Progress" culprit="max"></message>
  475. <message level="Progress" culprit="current"></message>
  476. <message level="Progress" culprit="min"></message>
  477. <message level="Progress" culprit="max"></message>
  478. <message level="Progress" culprit="current"></message>
  479. <message level="Progress" culprit="min"></message>
  480. <message level="Progress" culprit="max"></message>
  481. <message level="Progress" culprit="current"></message>
  482. <message level="Progress" culprit="min"></message>
  483. <message level="Progress" culprit="max"></message>
  484. <message level="Progress" culprit="current"></message>
  485. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave</message>
  486. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces lcd_16207_control_slave_translator.avalon_anti_slave_0 and lcd_16207.control_slave</message>
  487. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2_debug_mem_slave_translator.avalon_anti_slave_0 and nios2.debug_mem_slave</message>
  488. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces onchip_memory2_s1_translator.avalon_anti_slave_0 and onchip_memory2.s1</message>
  489. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces pio_LED_s1_translator.avalon_anti_slave_0 and pio_LED.s1</message>
  490. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces pio_MATRIX_s1_translator.avalon_anti_slave_0 and pio_MATRIX.s1</message>
  491. <message level="Debug">Transform merlin_domain_transform not run on matched interfaces pio_BUTTON_s1_translator.avalon_anti_slave_0 and pio_BUTTON.s1</message>
  492. <message level="Debug" culprit="merlin_domain_transform"><![CDATA[After transform: <b>34</b> modules, <b>180</b> connections]]></message>
  493. <message level="Debug">Transform: RouterTransform</message>
  494. <message level="Progress" culprit="min"></message>
  495. <message level="Progress" culprit="max"></message>
  496. <message level="Progress" culprit="current"></message>
  497. <message level="Progress" culprit="min"></message>
  498. <message level="Progress" culprit="max"></message>
  499. <message level="Progress" culprit="current"></message>
  500. <message level="Progress" culprit="min"></message>
  501. <message level="Progress" culprit="max"></message>
  502. <message level="Progress" culprit="current"></message>
  503. <message level="Progress" culprit="min"></message>
  504. <message level="Progress" culprit="max"></message>
  505. <message level="Progress" culprit="current"></message>
  506. <message level="Progress" culprit="min"></message>
  507. <message level="Progress" culprit="max"></message>
  508. <message level="Progress" culprit="current"></message>
  509. <message level="Progress" culprit="min"></message>
  510. <message level="Progress" culprit="max"></message>
  511. <message level="Progress" culprit="current"></message>
  512. <message level="Progress" culprit="min"></message>
  513. <message level="Progress" culprit="max"></message>
  514. <message level="Progress" culprit="current"></message>
  515. <message level="Progress" culprit="min"></message>
  516. <message level="Progress" culprit="max"></message>
  517. <message level="Progress" culprit="current"></message>
  518. <message level="Progress" culprit="min"></message>
  519. <message level="Progress" culprit="max"></message>
  520. <message level="Progress" culprit="current"></message>
  521. <message level="Debug" culprit="merlin_router_transform"><![CDATA[After transform: <b>43</b> modules, <b>216</b> connections]]></message>
  522. <message level="Debug">Transform: TrafficLimiterTransform</message>
  523. <message level="Debug">Transform: BurstTransform</message>
  524. <message level="Debug">Transform: TreeTransform</message>
  525. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  526. <message level="Progress" culprit="min"></message>
  527. <message level="Progress" culprit="max"></message>
  528. <message level="Progress" culprit="current"></message>
  529. <message level="Progress" culprit="min"></message>
  530. <message level="Progress" culprit="max"></message>
  531. <message level="Progress" culprit="current"></message>
  532. <message level="Progress" culprit="min"></message>
  533. <message level="Progress" culprit="max"></message>
  534. <message level="Progress" culprit="current"></message>
  535. <message level="Progress" culprit="min"></message>
  536. <message level="Progress" culprit="max"></message>
  537. <message level="Progress" culprit="current"></message>
  538. <message level="Progress" culprit="min"></message>
  539. <message level="Progress" culprit="max"></message>
  540. <message level="Progress" culprit="current"></message>
  541. <message level="Progress" culprit="min"></message>
  542. <message level="Progress" culprit="max"></message>
  543. <message level="Progress" culprit="current"></message>
  544. <message level="Progress" culprit="min"></message>
  545. <message level="Progress" culprit="max"></message>
  546. <message level="Progress" culprit="current"></message>
  547. <message level="Progress" culprit="min"></message>
  548. <message level="Progress" culprit="max"></message>
  549. <message level="Progress" culprit="current"></message>
  550. <message level="Progress" culprit="min"></message>
  551. <message level="Progress" culprit="max"></message>
  552. <message level="Progress" culprit="current"></message>
  553. <message level="Progress" culprit="min"></message>
  554. <message level="Progress" culprit="max"></message>
  555. <message level="Progress" culprit="current"></message>
  556. <message level="Progress" culprit="min"></message>
  557. <message level="Progress" culprit="max"></message>
  558. <message level="Progress" culprit="current"></message>
  559. <message level="Progress" culprit="min"></message>
  560. <message level="Progress" culprit="max"></message>
  561. <message level="Progress" culprit="current"></message>
  562. <message level="Progress" culprit="min"></message>
  563. <message level="Progress" culprit="max"></message>
  564. <message level="Progress" culprit="current"></message>
  565. <message level="Progress" culprit="min"></message>
  566. <message level="Progress" culprit="max"></message>
  567. <message level="Progress" culprit="current"></message>
  568. <message level="Progress" culprit="min"></message>
  569. <message level="Progress" culprit="max"></message>
  570. <message level="Progress" culprit="current"></message>
  571. <message level="Progress" culprit="min"></message>
  572. <message level="Progress" culprit="max"></message>
  573. <message level="Progress" culprit="current"></message>
  574. <message level="Progress" culprit="min"></message>
  575. <message level="Progress" culprit="max"></message>
  576. <message level="Progress" culprit="current"></message>
  577. <message level="Progress" culprit="min"></message>
  578. <message level="Progress" culprit="max"></message>
  579. <message level="Progress" culprit="current"></message>
  580. <message level="Debug" culprit="merlin_network_to_switch_transform"><![CDATA[After transform: <b>60</b> modules, <b>271</b> connections]]></message>
  581. <message level="Debug">Transform: WidthTransform</message>
  582. <message level="Debug">Transform: RouterTableTransform</message>
  583. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  584. <message level="Debug">Transform: ClockCrossingTransform</message>
  585. <message level="Debug">Transform: PipelineTransform</message>
  586. <message level="Debug">Transform: SpotPipelineTransform</message>
  587. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  588. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  589. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  590. <message level="Progress" culprit="min"></message>
  591. <message level="Progress" culprit="max"></message>
  592. <message level="Progress" culprit="current"></message>
  593. <message level="Progress" culprit="min"></message>
  594. <message level="Progress" culprit="max"></message>
  595. <message level="Progress" culprit="current"></message>
  596. <message level="Debug" culprit="merlin_clock_and_reset_bridge_transform"><![CDATA[After transform: <b>62</b> modules, <b>332</b> connections]]></message>
  597. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  598. <message level="Debug">Transform: HierarchyTransform</message>
  599. <message level="Progress" culprit="min"></message>
  600. <message level="Progress" culprit="max"></message>
  601. <message level="Progress" culprit="current"></message>
  602. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>13</b> modules, <b>38</b> connections]]></message>
  603. <message level="Debug" culprit="merlin_mm_transform"><![CDATA[After transform: <b>13</b> modules, <b>38</b> connections]]></message>
  604. <message level="Debug">Transform: InterruptMapperTransform</message>
  605. <message level="Progress" culprit="min"></message>
  606. <message level="Progress" culprit="max"></message>
  607. <message level="Progress" culprit="current"></message>
  608. <message level="Debug" culprit="merlin_interrupt_mapper_transform"><![CDATA[After transform: <b>14</b> modules, <b>42</b> connections]]></message>
  609. <message level="Debug">Transform: InterruptSyncTransform</message>
  610. <message level="Debug">Transform: InterruptFanoutTransform</message>
  611. <message level="Debug">Transform: AvalonStreamingTransform</message>
  612. <message level="Debug">Transform: ResetAdaptation</message>
  613. <message level="Progress" culprit="min"></message>
  614. <message level="Progress" culprit="max"></message>
  615. <message level="Progress" culprit="current"></message>
  616. <message level="Progress" culprit="min"></message>
  617. <message level="Progress" culprit="max"></message>
  618. <message level="Progress" culprit="current"></message>
  619. <message level="Debug" culprit="reset_adaptation_transform"><![CDATA[After transform: <b>16</b> modules, <b>44</b> connections]]></message>
  620. <message level="Warning" culprit="nios2_uc">"No matching role found for nios2_custom_instruction_master_multi_xconnect:ci_slave:ci_slave_ipending (ipending)"</message>
  621. <message level="Warning" culprit="nios2_uc">"No matching role found for nios2_custom_instruction_master_multi_xconnect:ci_slave:ci_slave_estatus (estatus)"</message>
  622. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_jtag_uart</b> "<b>submodules/nios2_uc_jtag_uart</b>"]]></message>
  623. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_lcd_16207</b> "<b>submodules/nios2_uc_lcd_16207</b>"]]></message>
  624. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_nios2_gen2</b> "<b>submodules/nios2_uc_nios2</b>"]]></message>
  625. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_nios_custom_instr_floating_point</b> "<b>submodules/fpoint_wrapper</b>"]]></message>
  626. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_onchip_memory2</b> "<b>submodules/nios2_uc_onchip_memory2</b>"]]></message>
  627. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_pio</b> "<b>submodules/nios2_uc_pio_BUTTON</b>"]]></message>
  628. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_pio</b> "<b>submodules/nios2_uc_pio_LED</b>"]]></message>
  629. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_avalon_pio</b> "<b>submodules/nios2_uc_pio_MATRIX</b>"]]></message>
  630. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_customins_master_translator</b> "<b>submodules/altera_customins_master_translator</b>"]]></message>
  631. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_customins_xconnect</b> "<b>submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect</b>"]]></message>
  632. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_customins_slave_translator</b> "<b>submodules/altera_customins_slave_translator</b>"]]></message>
  633. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_mm_interconnect</b> "<b>submodules/nios2_uc_mm_interconnect_0</b>"]]></message>
  634. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_irq_mapper</b> "<b>submodules/nios2_uc_irq_mapper</b>"]]></message>
  635. <message level="Debug" culprit="nios2_uc"><![CDATA["<b>nios2_uc</b>" reuses <b>altera_reset_controller</b> "<b>submodules/altera_reset_controller</b>"]]></message>
  636. <message level="Debug" culprit="nios2_uc">queue size: 13 starting:altera_avalon_jtag_uart "submodules/nios2_uc_jtag_uart"</message>
  637. <message level="Info" culprit="jtag_uart">Starting RTL generation for module 'nios2_uc_jtag_uart'</message>
  638. <message level="Info" culprit="jtag_uart"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios2_uc_jtag_uart --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0002_jtag_uart_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0002_jtag_uart_gen//nios2_uc_jtag_uart_component_configuration.pl --do_build_sim=0 ]</message>
  639. <message level="Info" culprit="jtag_uart">Done RTL generation for module 'nios2_uc_jtag_uart'</message>
  640. <message level="Info" culprit="jtag_uart"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_jtag_uart</b> "<b>jtag_uart</b>"]]></message>
  641. <message level="Debug" culprit="nios2_uc">queue size: 12 starting:altera_avalon_lcd_16207 "submodules/nios2_uc_lcd_16207"</message>
  642. <message level="Info" culprit="lcd_16207">Starting RTL generation for module 'nios2_uc_lcd_16207'</message>
  643. <message level="Info" culprit="lcd_16207"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207 -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/generate_rtl.pl --name=nios2_uc_lcd_16207 --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0003_lcd_16207_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0003_lcd_16207_gen//nios2_uc_lcd_16207_component_configuration.pl --do_build_sim=0 ]</message>
  644. <message level="Info" culprit="lcd_16207">Done RTL generation for module 'nios2_uc_lcd_16207'</message>
  645. <message level="Info" culprit="lcd_16207"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_lcd_16207</b> "<b>lcd_16207</b>"]]></message>
  646. <message level="Debug" culprit="nios2_uc">queue size: 11 starting:altera_nios2_gen2 "submodules/nios2_uc_nios2"</message>
  647. <message level="Progress" culprit="min"></message>
  648. <message level="Progress" culprit="max"></message>
  649. <message level="Progress" culprit="current"></message>
  650. <message level="Debug">Transform: CustomInstructionTransform</message>
  651. <message level="Debug">No custom instruction connections, skipping transform </message>
  652. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  653. <message level="Debug">Transform: MMTransform</message>
  654. <message level="Debug">Transform: InterruptMapperTransform</message>
  655. <message level="Debug">Transform: InterruptSyncTransform</message>
  656. <message level="Debug">Transform: InterruptFanoutTransform</message>
  657. <message level="Debug">Transform: AvalonStreamingTransform</message>
  658. <message level="Debug">Transform: ResetAdaptation</message>
  659. <message level="Debug" culprit="nios2"><![CDATA["<b>nios2</b>" reuses <b>altera_nios2_gen2_unit</b> "<b>submodules/nios2_uc_nios2_cpu</b>"]]></message>
  660. <message level="Info" culprit="nios2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_nios2_gen2</b> "<b>nios2</b>"]]></message>
  661. <message level="Debug" culprit="nios2_uc">queue size: 59 starting:altera_nios2_gen2_unit "submodules/nios2_uc_nios2_cpu"</message>
  662. <message level="Info" culprit="cpu">Starting RTL generation for module 'nios2_uc_nios2_cpu'</message>
  663. <message level="Info" culprit="cpu"> Generation command is [exec E:/Data/quartus/quartus/bin64//eperlcmd.exe -I E:/Data/quartus/quartus/bin64//perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=nios2_uc_nios2_cpu --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0013_cpu_gen/ --quartus_bindir=E:/Data/quartus/quartus/bin64/ --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0013_cpu_gen//nios2_uc_nios2_cpu_processor_configuration.pl --do_build_sim=0 ]</message>
  664. <message level="Info" culprit="cpu"># 2020.12.08 21:15:05 (*) Starting Nios II generation</message>
  665. <message level="Info" culprit="cpu"># 2020.12.08 21:15:05 (*) Checking for plaintext license.</message>
  666. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) Couldn't query license setup in Quartus directory E:/Data/quartus/quartus/bin64/</message>
  667. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) Defaulting to contents of LM_LICENSE_FILE environment variable</message>
  668. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) LM_LICENSE_FILE environment variable is empty</message>
  669. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Plaintext license not found.</message>
  670. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) No license required to generate encrypted Nios II/e.</message>
  671. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Elaborating CPU configuration settings</message>
  672. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Creating all objects for CPU</message>
  673. <message level="Info" culprit="cpu"># 2020.12.08 21:15:12 (*) Generating RTL from CPU objects</message>
  674. <message level="Info" culprit="cpu"># 2020.12.08 21:15:12 (*) Creating plain-text RTL</message>
  675. <message level="Info" culprit="cpu"># 2020.12.08 21:15:13 (*) Done Nios II generation</message>
  676. <message level="Info" culprit="cpu">Done RTL generation for module 'nios2_uc_nios2_cpu'</message>
  677. <message level="Info" culprit="cpu"><![CDATA["<b>nios2</b>" instantiated <b>altera_nios2_gen2_unit</b> "<b>cpu</b>"]]></message>
  678. <message level="Debug" culprit="nios2_uc">queue size: 11 starting:altera_nios_custom_instr_floating_point "submodules/fpoint_wrapper"</message>
  679. <message level="Info" culprit="nios_custom_instr_floating_point_0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_nios_custom_instr_floating_point</b> "<b>nios_custom_instr_floating_point_0</b>"]]></message>
  680. <message level="Debug" culprit="nios2_uc">queue size: 10 starting:altera_avalon_onchip_memory2 "submodules/nios2_uc_onchip_memory2"</message>
  681. <message level="Info" culprit="onchip_memory2">Starting RTL generation for module 'nios2_uc_onchip_memory2'</message>
  682. <message level="Info" culprit="onchip_memory2"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios2_uc_onchip_memory2 --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0004_onchip_memory2_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0004_onchip_memory2_gen//nios2_uc_onchip_memory2_component_configuration.pl --do_build_sim=0 ]</message>
  683. <message level="Info" culprit="onchip_memory2">Done RTL generation for module 'nios2_uc_onchip_memory2'</message>
  684. <message level="Info" culprit="onchip_memory2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_onchip_memory2</b> "<b>onchip_memory2</b>"]]></message>
  685. <message level="Debug" culprit="nios2_uc">queue size: 9 starting:altera_avalon_pio "submodules/nios2_uc_pio_BUTTON"</message>
  686. <message level="Info" culprit="pio_BUTTON">Starting RTL generation for module 'nios2_uc_pio_BUTTON'</message>
  687. <message level="Info" culprit="pio_BUTTON"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_BUTTON --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0005_pio_BUTTON_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0005_pio_BUTTON_gen//nios2_uc_pio_BUTTON_component_configuration.pl --do_build_sim=0 ]</message>
  688. <message level="Info" culprit="pio_BUTTON">Done RTL generation for module 'nios2_uc_pio_BUTTON'</message>
  689. <message level="Info" culprit="pio_BUTTON"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_BUTTON</b>"]]></message>
  690. <message level="Debug" culprit="nios2_uc">queue size: 8 starting:altera_avalon_pio "submodules/nios2_uc_pio_LED"</message>
  691. <message level="Info" culprit="pio_LED">Starting RTL generation for module 'nios2_uc_pio_LED'</message>
  692. <message level="Info" culprit="pio_LED"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_LED --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0006_pio_LED_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0006_pio_LED_gen//nios2_uc_pio_LED_component_configuration.pl --do_build_sim=0 ]</message>
  693. <message level="Info" culprit="pio_LED">Done RTL generation for module 'nios2_uc_pio_LED'</message>
  694. <message level="Info" culprit="pio_LED"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_LED</b>"]]></message>
  695. <message level="Debug" culprit="nios2_uc">queue size: 7 starting:altera_avalon_pio "submodules/nios2_uc_pio_MATRIX"</message>
  696. <message level="Info" culprit="pio_MATRIX">Starting RTL generation for module 'nios2_uc_pio_MATRIX'</message>
  697. <message level="Info" culprit="pio_MATRIX"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_MATRIX --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0007_pio_MATRIX_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0007_pio_MATRIX_gen//nios2_uc_pio_MATRIX_component_configuration.pl --do_build_sim=0 ]</message>
  698. <message level="Info" culprit="pio_MATRIX">Done RTL generation for module 'nios2_uc_pio_MATRIX'</message>
  699. <message level="Info" culprit="pio_MATRIX"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_MATRIX</b>"]]></message>
  700. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_customins_master_translator "submodules/altera_customins_master_translator"</message>
  701. <message level="Info" culprit="nios2_custom_instruction_master_translator"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_customins_master_translator</b> "<b>nios2_custom_instruction_master_translator</b>"]]></message>
  702. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_customins_xconnect "submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect"</message>
  703. <message level="Info" culprit="nios2_custom_instruction_master_multi_xconnect"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_customins_xconnect</b> "<b>nios2_custom_instruction_master_multi_xconnect</b>"]]></message>
  704. <message level="Debug" culprit="nios2_uc">queue size: 4 starting:altera_customins_slave_translator "submodules/altera_customins_slave_translator"</message>
  705. <message
  706. level="Info"
  707. culprit="nios2_custom_instruction_master_multi_slave_translator0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_customins_slave_translator</b> "<b>nios2_custom_instruction_master_multi_slave_translator0</b>"]]></message>
  708. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_mm_interconnect "submodules/nios2_uc_mm_interconnect_0"</message>
  709. <message level="Progress" culprit="min"></message>
  710. <message level="Progress" culprit="max"></message>
  711. <message level="Progress" culprit="current"></message>
  712. <message level="Debug">Transform: CustomInstructionTransform</message>
  713. <message level="Debug">No custom instruction connections, skipping transform </message>
  714. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  715. <message level="Debug">Transform: MMTransform</message>
  716. <message level="Debug">Transform: InitialInterconnectTransform</message>
  717. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  718. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  719. <message level="Debug">Transform: DefaultSlaveTransform</message>
  720. <message level="Debug">Transform: TranslatorTransform</message>
  721. <message level="Debug">No Avalon connections, skipping transform </message>
  722. <message level="Debug">Transform: IDPadTransform</message>
  723. <message level="Debug">Transform: DomainTransform</message>
  724. <message level="Debug">Transform: RouterTransform</message>
  725. <message level="Debug">Transform: TrafficLimiterTransform</message>
  726. <message level="Debug">Transform: BurstTransform</message>
  727. <message level="Debug">Transform: TreeTransform</message>
  728. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  729. <message level="Debug">Transform: WidthTransform</message>
  730. <message level="Debug">Transform: RouterTableTransform</message>
  731. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  732. <message level="Debug">Transform: ClockCrossingTransform</message>
  733. <message level="Debug">Transform: PipelineTransform</message>
  734. <message level="Debug">Transform: SpotPipelineTransform</message>
  735. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  736. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  737. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  738. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  739. <message level="Debug">Transform: HierarchyTransform</message>
  740. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  741. <message level="Debug">Transform: InitialInterconnectTransform</message>
  742. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  743. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  744. <message level="Debug">Transform: DefaultSlaveTransform</message>
  745. <message level="Debug">Transform: TranslatorTransform</message>
  746. <message level="Debug">No Avalon connections, skipping transform </message>
  747. <message level="Debug">Transform: IDPadTransform</message>
  748. <message level="Debug">Transform: DomainTransform</message>
  749. <message level="Debug">Transform: RouterTransform</message>
  750. <message level="Debug">Transform: TrafficLimiterTransform</message>
  751. <message level="Debug">Transform: BurstTransform</message>
  752. <message level="Debug">Transform: TreeTransform</message>
  753. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  754. <message level="Debug">Transform: WidthTransform</message>
  755. <message level="Debug">Transform: RouterTableTransform</message>
  756. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  757. <message level="Debug">Transform: ClockCrossingTransform</message>
  758. <message level="Debug">Transform: PipelineTransform</message>
  759. <message level="Debug">Transform: SpotPipelineTransform</message>
  760. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  761. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  762. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  763. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  764. <message level="Debug">Transform: HierarchyTransform</message>
  765. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  766. <message level="Debug">Transform: InitialInterconnectTransform</message>
  767. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  768. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  769. <message level="Debug">Transform: DefaultSlaveTransform</message>
  770. <message level="Debug">Transform: TranslatorTransform</message>
  771. <message level="Debug">No Avalon connections, skipping transform </message>
  772. <message level="Debug">Transform: IDPadTransform</message>
  773. <message level="Debug">Transform: DomainTransform</message>
  774. <message level="Debug">Transform: RouterTransform</message>
  775. <message level="Debug">Transform: TrafficLimiterTransform</message>
  776. <message level="Debug">Transform: BurstTransform</message>
  777. <message level="Debug">Transform: TreeTransform</message>
  778. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  779. <message level="Debug">Transform: WidthTransform</message>
  780. <message level="Debug">Transform: RouterTableTransform</message>
  781. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  782. <message level="Debug">Transform: ClockCrossingTransform</message>
  783. <message level="Debug">Transform: PipelineTransform</message>
  784. <message level="Debug">Transform: SpotPipelineTransform</message>
  785. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  786. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  787. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  788. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  789. <message level="Debug">Transform: HierarchyTransform</message>
  790. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  791. <message level="Debug">Transform: InitialInterconnectTransform</message>
  792. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  793. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  794. <message level="Debug">Transform: DefaultSlaveTransform</message>
  795. <message level="Debug">Transform: TranslatorTransform</message>
  796. <message level="Debug">No Avalon connections, skipping transform </message>
  797. <message level="Debug">Transform: IDPadTransform</message>
  798. <message level="Debug">Transform: DomainTransform</message>
  799. <message level="Debug">Transform: RouterTransform</message>
  800. <message level="Debug">Transform: TrafficLimiterTransform</message>
  801. <message level="Debug">Transform: BurstTransform</message>
  802. <message level="Debug">Transform: TreeTransform</message>
  803. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  804. <message level="Debug">Transform: WidthTransform</message>
  805. <message level="Debug">Transform: RouterTableTransform</message>
  806. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  807. <message level="Debug">Transform: ClockCrossingTransform</message>
  808. <message level="Debug">Transform: PipelineTransform</message>
  809. <message level="Debug">Transform: SpotPipelineTransform</message>
  810. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  811. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  812. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  813. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  814. <message level="Debug">Transform: HierarchyTransform</message>
  815. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  816. <message level="Debug">Transform: InitialInterconnectTransform</message>
  817. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  818. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  819. <message level="Debug">Transform: DefaultSlaveTransform</message>
  820. <message level="Debug">Transform: TranslatorTransform</message>
  821. <message level="Debug">No Avalon connections, skipping transform </message>
  822. <message level="Debug">Transform: IDPadTransform</message>
  823. <message level="Debug">Transform: DomainTransform</message>
  824. <message level="Debug">Transform: RouterTransform</message>
  825. <message level="Debug">Transform: TrafficLimiterTransform</message>
  826. <message level="Debug">Transform: BurstTransform</message>
  827. <message level="Debug">Transform: TreeTransform</message>
  828. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  829. <message level="Debug">Transform: WidthTransform</message>
  830. <message level="Debug">Transform: RouterTableTransform</message>
  831. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  832. <message level="Debug">Transform: ClockCrossingTransform</message>
  833. <message level="Debug">Transform: PipelineTransform</message>
  834. <message level="Debug">Transform: SpotPipelineTransform</message>
  835. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  836. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  837. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  838. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  839. <message level="Debug">Transform: HierarchyTransform</message>
  840. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  841. <message level="Debug">Transform: InitialInterconnectTransform</message>
  842. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  843. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  844. <message level="Debug">Transform: DefaultSlaveTransform</message>
  845. <message level="Debug">Transform: TranslatorTransform</message>
  846. <message level="Debug">No Avalon connections, skipping transform </message>
  847. <message level="Debug">Transform: IDPadTransform</message>
  848. <message level="Debug">Transform: DomainTransform</message>
  849. <message level="Debug">Transform: RouterTransform</message>
  850. <message level="Debug">Transform: TrafficLimiterTransform</message>
  851. <message level="Debug">Transform: BurstTransform</message>
  852. <message level="Debug">Transform: TreeTransform</message>
  853. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  854. <message level="Debug">Transform: WidthTransform</message>
  855. <message level="Debug">Transform: RouterTableTransform</message>
  856. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  857. <message level="Debug">Transform: ClockCrossingTransform</message>
  858. <message level="Debug">Transform: PipelineTransform</message>
  859. <message level="Debug">Transform: SpotPipelineTransform</message>
  860. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  861. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  862. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  863. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  864. <message level="Debug">Transform: HierarchyTransform</message>
  865. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  866. <message level="Debug">Transform: InitialInterconnectTransform</message>
  867. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  868. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  869. <message level="Debug">Transform: DefaultSlaveTransform</message>
  870. <message level="Debug">Transform: TranslatorTransform</message>
  871. <message level="Debug">No Avalon connections, skipping transform </message>
  872. <message level="Debug">Transform: IDPadTransform</message>
  873. <message level="Debug">Transform: DomainTransform</message>
  874. <message level="Debug">Transform: RouterTransform</message>
  875. <message level="Debug">Transform: TrafficLimiterTransform</message>
  876. <message level="Debug">Transform: BurstTransform</message>
  877. <message level="Debug">Transform: TreeTransform</message>
  878. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  879. <message level="Debug">Transform: WidthTransform</message>
  880. <message level="Debug">Transform: RouterTableTransform</message>
  881. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  882. <message level="Debug">Transform: ClockCrossingTransform</message>
  883. <message level="Debug">Transform: PipelineTransform</message>
  884. <message level="Debug">Transform: SpotPipelineTransform</message>
  885. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  886. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  887. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  888. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  889. <message level="Debug">Transform: HierarchyTransform</message>
  890. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  891. <message level="Debug">Transform: InitialInterconnectTransform</message>
  892. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  893. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  894. <message level="Debug">Transform: DefaultSlaveTransform</message>
  895. <message level="Debug">Transform: TranslatorTransform</message>
  896. <message level="Debug">No Avalon connections, skipping transform </message>
  897. <message level="Debug">Transform: IDPadTransform</message>
  898. <message level="Debug">Transform: DomainTransform</message>
  899. <message level="Debug">Transform: RouterTransform</message>
  900. <message level="Debug">Transform: TrafficLimiterTransform</message>
  901. <message level="Debug">Transform: BurstTransform</message>
  902. <message level="Debug">Transform: TreeTransform</message>
  903. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  904. <message level="Debug">Transform: WidthTransform</message>
  905. <message level="Debug">Transform: RouterTableTransform</message>
  906. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  907. <message level="Debug">Transform: ClockCrossingTransform</message>
  908. <message level="Debug">Transform: PipelineTransform</message>
  909. <message level="Debug">Transform: SpotPipelineTransform</message>
  910. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  911. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  912. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  913. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  914. <message level="Debug">Transform: HierarchyTransform</message>
  915. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  916. <message level="Debug">Transform: InitialInterconnectTransform</message>
  917. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  918. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  919. <message level="Debug">Transform: DefaultSlaveTransform</message>
  920. <message level="Debug">Transform: TranslatorTransform</message>
  921. <message level="Debug">No Avalon connections, skipping transform </message>
  922. <message level="Debug">Transform: IDPadTransform</message>
  923. <message level="Debug">Transform: DomainTransform</message>
  924. <message level="Debug">Transform: RouterTransform</message>
  925. <message level="Debug">Transform: TrafficLimiterTransform</message>
  926. <message level="Debug">Transform: BurstTransform</message>
  927. <message level="Debug">Transform: TreeTransform</message>
  928. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  929. <message level="Debug">Transform: WidthTransform</message>
  930. <message level="Debug">Transform: RouterTableTransform</message>
  931. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  932. <message level="Debug">Transform: ClockCrossingTransform</message>
  933. <message level="Debug">Transform: PipelineTransform</message>
  934. <message level="Debug">Transform: SpotPipelineTransform</message>
  935. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  936. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  937. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  938. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  939. <message level="Debug">Transform: HierarchyTransform</message>
  940. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  941. <message level="Debug">Transform: InterruptMapperTransform</message>
  942. <message level="Debug">Transform: InterruptSyncTransform</message>
  943. <message level="Debug">Transform: InterruptFanoutTransform</message>
  944. <message level="Debug">Transform: AvalonStreamingTransform</message>
  945. <message level="Progress" culprit="min"></message>
  946. <message level="Progress" culprit="max"></message>
  947. <message level="Progress" culprit="current"></message>
  948. <message level="Info" culprit="avalon_st_adapter">Inserting error_adapter: error_adapter_0</message>
  949. <message level="Debug" culprit="avalon_st_adapter.clk_bridge_0">Timing: ELA:1/0.000s</message>
  950. <message level="Debug" culprit="avalon_st_adapter.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  951. <message level="Debug" culprit="avalon_st_adapter.error_adapter_0">Timing: ELA:1/0.016s</message>
  952. <message level="Debug" culprit="avalon_st_adapter">Timing: COM:3/0.054s/0.066s</message>
  953. <message level="Progress" culprit="min"></message>
  954. <message level="Progress" culprit="max"></message>
  955. <message level="Progress" culprit="current"></message>
  956. <message level="Info" culprit="avalon_st_adapter_001">Inserting error_adapter: error_adapter_0</message>
  957. <message level="Debug" culprit="avalon_st_adapter_001.clk_bridge_0">Timing: ELA:1/0.000s</message>
  958. <message level="Debug" culprit="avalon_st_adapter_001.rst_bridge_0">Timing: ELA:2/0.000s/0.000s</message>
  959. <message level="Debug" culprit="avalon_st_adapter_001.error_adapter_0">Timing: ELA:1/0.011s</message>
  960. <message level="Debug" culprit="avalon_st_adapter_001">Timing: COM:3/0.022s/0.027s</message>
  961. <message level="Progress" culprit="min"></message>
  962. <message level="Progress" culprit="max"></message>
  963. <message level="Progress" culprit="current"></message>
  964. <message level="Info" culprit="avalon_st_adapter_002">Inserting error_adapter: error_adapter_0</message>
  965. <message level="Debug" culprit="avalon_st_adapter_002.clk_bridge_0">Timing: ELA:1/0.000s</message>
  966. <message level="Debug" culprit="avalon_st_adapter_002.rst_bridge_0">Timing: ELA:2/0.001s/0.001s</message>
  967. <message level="Debug" culprit="avalon_st_adapter_002.error_adapter_0">Timing: ELA:1/0.010s</message>
  968. <message level="Debug" culprit="avalon_st_adapter_002">Timing: COM:3/0.019s/0.020s</message>
  969. <message level="Progress" culprit="min"></message>
  970. <message level="Progress" culprit="max"></message>
  971. <message level="Progress" culprit="current"></message>
  972. <message level="Info" culprit="avalon_st_adapter_003">Inserting error_adapter: error_adapter_0</message>
  973. <message level="Debug" culprit="avalon_st_adapter_003.clk_bridge_0">Timing: ELA:1/0.000s</message>
  974. <message level="Debug" culprit="avalon_st_adapter_003.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  975. <message level="Debug" culprit="avalon_st_adapter_003.error_adapter_0">Timing: ELA:1/0.068s</message>
  976. <message level="Debug" culprit="avalon_st_adapter_003">Timing: COM:3/0.039s/0.078s</message>
  977. <message level="Progress" culprit="min"></message>
  978. <message level="Progress" culprit="max"></message>
  979. <message level="Progress" culprit="current"></message>
  980. <message level="Info" culprit="avalon_st_adapter_004">Inserting error_adapter: error_adapter_0</message>
  981. <message level="Debug" culprit="avalon_st_adapter_004.clk_bridge_0">Timing: ELA:1/0.001s</message>
  982. <message level="Debug" culprit="avalon_st_adapter_004.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  983. <message level="Debug" culprit="avalon_st_adapter_004.error_adapter_0">Timing: ELA:1/0.007s</message>
  984. <message level="Debug" culprit="avalon_st_adapter_004">Timing: COM:3/0.017s/0.022s</message>
  985. <message level="Progress" culprit="min"></message>
  986. <message level="Progress" culprit="max"></message>
  987. <message level="Progress" culprit="current"></message>
  988. <message level="Info" culprit="avalon_st_adapter_005">Inserting error_adapter: error_adapter_0</message>
  989. <message level="Debug" culprit="avalon_st_adapter_005.clk_bridge_0">Timing: ELA:1/0.000s</message>
  990. <message level="Debug" culprit="avalon_st_adapter_005.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  991. <message level="Debug" culprit="avalon_st_adapter_005.error_adapter_0">Timing: ELA:1/0.007s</message>
  992. <message level="Debug" culprit="avalon_st_adapter_005">Timing: COM:3/0.021s/0.028s</message>
  993. <message level="Progress" culprit="min"></message>
  994. <message level="Progress" culprit="max"></message>
  995. <message level="Progress" culprit="current"></message>
  996. <message level="Info" culprit="avalon_st_adapter_006">Inserting error_adapter: error_adapter_0</message>
  997. <message level="Debug" culprit="avalon_st_adapter_006.clk_bridge_0">Timing: ELA:1/0.000s</message>
  998. <message level="Debug" culprit="avalon_st_adapter_006.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  999. <message level="Debug" culprit="avalon_st_adapter_006.error_adapter_0">Timing: ELA:1/0.008s</message>
  1000. <message level="Debug" culprit="avalon_st_adapter_006">Timing: COM:3/0.017s/0.019s</message>
  1001. <message
  1002. level="Debug"
  1003. culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform"><![CDATA[After transform: <b>61</b> modules, <b>211</b> connections]]></message>
  1004. <message level="Debug">Transform: ResetAdaptation</message>
  1005. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  1006. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  1007. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1008. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1009. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1010. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1011. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1012. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1013. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  1014. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  1015. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  1016. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1017. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1018. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1019. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1020. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1021. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1022. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1023. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1024. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1025. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1026. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1027. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1028. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  1029. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  1030. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  1031. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  1032. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1033. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1034. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1035. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1036. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1037. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1038. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  1039. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  1040. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  1041. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1042. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1043. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1044. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1045. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1046. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1047. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  1048. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1049. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1050. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1051. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1052. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1053. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1054. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  1055. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  1056. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  1057. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1058. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1059. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1060. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1061. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1062. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1063. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  1064. <message level="Info" culprit="mm_interconnect_0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_mm_interconnect</b> "<b>mm_interconnect_0</b>"]]></message>
  1065. <message level="Debug" culprit="nios2_uc">queue size: 58 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
  1066. <message level="Info" culprit="nios2_data_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_data_master_translator</b>"]]></message>
  1067. <message level="Debug" culprit="nios2_uc">queue size: 56 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
  1068. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>jtag_uart_avalon_jtag_slave_translator</b>"]]></message>
  1069. <message level="Debug" culprit="nios2_uc">queue size: 49 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
  1070. <message level="Info" culprit="nios2_data_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_data_master_agent</b>"]]></message>
  1071. <message level="Debug" culprit="nios2_uc">queue size: 47 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
  1072. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>jtag_uart_avalon_jtag_slave_agent</b>"]]></message>
  1073. <message level="Debug" culprit="nios2_uc">queue size: 46 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
  1074. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>jtag_uart_avalon_jtag_slave_agent_rsp_fifo</b>"]]></message>
  1075. <message level="Debug" culprit="nios2_uc">queue size: 33 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router"</message>
  1076. <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  1077. <message level="Debug" culprit="nios2_uc">queue size: 31 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router_002"</message>
  1078. <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  1079. <message level="Debug" culprit="nios2_uc">queue size: 24 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_demux"</message>
  1080. <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  1081. <message level="Debug" culprit="nios2_uc">queue size: 22 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_mux"</message>
  1082. <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  1083. <message level="Debug" culprit="nios2_uc">queue size: 15 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_demux"</message>
  1084. <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  1085. <message level="Debug" culprit="nios2_uc">queue size: 8 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_mux"</message>
  1086. <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
  1087. <message level="Info"><![CDATA[Reusing file <b>E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  1088. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_avalon_st_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter"</message>
  1089. <message level="Progress" culprit="min"></message>
  1090. <message level="Progress" culprit="max"></message>
  1091. <message level="Progress" culprit="current"></message>
  1092. <message level="Debug">Transform: CustomInstructionTransform</message>
  1093. <message level="Debug">No custom instruction connections, skipping transform </message>
  1094. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  1095. <message level="Debug">Transform: MMTransform</message>
  1096. <message level="Debug">Transform: InterruptMapperTransform</message>
  1097. <message level="Debug">Transform: InterruptSyncTransform</message>
  1098. <message level="Debug">Transform: InterruptFanoutTransform</message>
  1099. <message level="Debug">Transform: AvalonStreamingTransform</message>
  1100. <message level="Debug">Transform: ResetAdaptation</message>
  1101. <message level="Debug" culprit="avalon_st_adapter"><![CDATA["<b>avalon_st_adapter</b>" reuses <b>error_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0</b>"]]></message>
  1102. <message level="Info" culprit="avalon_st_adapter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_st_adapter</b> "<b>avalon_st_adapter</b>"]]></message>
  1103. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  1104. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  1105. <message level="Debug" culprit="nios2_uc">queue size: 61 starting:altera_irq_mapper "submodules/nios2_uc_irq_mapper"</message>
  1106. <message level="Info" culprit="irq_mapper"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_irq_mapper</b> "<b>irq_mapper</b>"]]></message>
  1107. <message level="Debug" culprit="nios2_uc">queue size: 60 starting:altera_reset_controller "submodules/altera_reset_controller"</message>
  1108. <message level="Info" culprit="rst_controller"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_reset_controller</b> "<b>rst_controller</b>"]]></message>
  1109. </messages>
  1110. </entity>
  1111. <entity
  1112. path="submodules/"
  1113. parameterizationKey="altera_avalon_jtag_uart:18.1:allowMultipleConnections=false,avalonSpec=2.0,clkFreq=50000000,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8"
  1114. instancePathKey="nios2_uc:.:jtag_uart"
  1115. kind="altera_avalon_jtag_uart"
  1116. version="18.1"
  1117. name="nios2_uc_jtag_uart">
  1118. <parameter name="readBufferDepth" value="64" />
  1119. <parameter name="clkFreq" value="50000000" />
  1120. <parameter name="useRelativePathForSimFile" value="false" />
  1121. <parameter name="hubInstanceID" value="0" />
  1122. <parameter name="enableInteractiveInput" value="false" />
  1123. <parameter name="avalonSpec" value="2.0" />
  1124. <parameter name="simInputCharacterStream" value="" />
  1125. <parameter name="readIRQThreshold" value="8" />
  1126. <parameter name="useRegistersForWriteBuffer" value="false" />
  1127. <parameter name="useRegistersForReadBuffer" value="false" />
  1128. <parameter name="simInteractiveOptions" value="NO_INTERACTIVE_WINDOWS" />
  1129. <parameter name="enableInteractiveOutput" value="false" />
  1130. <parameter name="writeIRQThreshold" value="8" />
  1131. <parameter name="writeBufferDepth" value="64" />
  1132. <parameter name="allowMultipleConnections" value="false" />
  1133. <parameter name="legacySignalAllow" value="false" />
  1134. <generatedFiles>
  1135. <file
  1136. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_jtag_uart.v"
  1137. type="VERILOG"
  1138. attributes="" />
  1139. </generatedFiles>
  1140. <childGeneratedFiles/>
  1141. <sourceFiles>
  1142. <file
  1143. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
  1144. </sourceFiles>
  1145. <childSourceFiles/>
  1146. <instantiator instantiator="nios2_uc" as="jtag_uart" />
  1147. <messages>
  1148. <message level="Debug" culprit="nios2_uc">queue size: 13 starting:altera_avalon_jtag_uart "submodules/nios2_uc_jtag_uart"</message>
  1149. <message level="Info" culprit="jtag_uart">Starting RTL generation for module 'nios2_uc_jtag_uart'</message>
  1150. <message level="Info" culprit="jtag_uart"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=nios2_uc_jtag_uart --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0002_jtag_uart_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0002_jtag_uart_gen//nios2_uc_jtag_uart_component_configuration.pl --do_build_sim=0 ]</message>
  1151. <message level="Info" culprit="jtag_uart">Done RTL generation for module 'nios2_uc_jtag_uart'</message>
  1152. <message level="Info" culprit="jtag_uart"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_jtag_uart</b> "<b>jtag_uart</b>"]]></message>
  1153. </messages>
  1154. </entity>
  1155. <entity
  1156. path="submodules/"
  1157. parameterizationKey="altera_avalon_lcd_16207:18.1:"
  1158. instancePathKey="nios2_uc:.:lcd_16207"
  1159. kind="altera_avalon_lcd_16207"
  1160. version="18.1"
  1161. name="nios2_uc_lcd_16207">
  1162. <generatedFiles>
  1163. <file
  1164. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_lcd_16207.v"
  1165. type="VERILOG"
  1166. attributes="" />
  1167. </generatedFiles>
  1168. <childGeneratedFiles/>
  1169. <sourceFiles>
  1170. <file
  1171. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/altera_avalon_lcd_16207_hw.tcl" />
  1172. </sourceFiles>
  1173. <childSourceFiles/>
  1174. <instantiator instantiator="nios2_uc" as="lcd_16207" />
  1175. <messages>
  1176. <message level="Debug" culprit="nios2_uc">queue size: 12 starting:altera_avalon_lcd_16207 "submodules/nios2_uc_lcd_16207"</message>
  1177. <message level="Info" culprit="lcd_16207">Starting RTL generation for module 'nios2_uc_lcd_16207'</message>
  1178. <message level="Info" culprit="lcd_16207"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207 -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_lcd_16207/generate_rtl.pl --name=nios2_uc_lcd_16207 --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0003_lcd_16207_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0003_lcd_16207_gen//nios2_uc_lcd_16207_component_configuration.pl --do_build_sim=0 ]</message>
  1179. <message level="Info" culprit="lcd_16207">Done RTL generation for module 'nios2_uc_lcd_16207'</message>
  1180. <message level="Info" culprit="lcd_16207"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_lcd_16207</b> "<b>lcd_16207</b>"]]></message>
  1181. </messages>
  1182. </entity>
  1183. <entity
  1184. path="submodules/"
  1185. parameterizationKey="altera_nios2_gen2:18.1:AUTO_CLK_CLOCK_DOMAIN=1,AUTO_CLK_RESET_DOMAIN=1,AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_SPEEDGRADE=7,bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,customInstSlavesSystemInfo=&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;,customInstSlavesSystemInfo_nios_a=&lt;info/&gt;,customInstSlavesSystemInfo_nios_b=&lt;info/&gt;,customInstSlavesSystemInfo_nios_c=&lt;info/&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_size=0,data_master_paddr_base=0,data_master_paddr_size=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_size=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_size=0,instruction_master_paddr_base=0,instruction_master_paddr_size=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,mul_32_impl=2,mul_64_impl=0,mul_shift_choice=0,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchpredictiontype=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disable_tmr_inj=false,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportHostDebugPort=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_tmr_output_disable=false,setting_usedesignware=false,shift_rot_impl=1,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_size=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_size=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_size=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_size=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_size=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_size=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_size=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_size=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=50000000,EXPLICIT_CLOCK_RATE=0,NUM_CLOCK_OUTPUTS=1)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=1,AUTO_CLK_CLOCK_RATE=50000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=1)(altera_nios2_gen2_unit:18.1:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,cpu_name=cpu,customInstSlavesSystemInfo=&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_top=0,data_master_paddr_base=0,data_master_paddr_top=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_top=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_top=0,instruction_master_paddr_base=0,instruction_master_paddr_top=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchPredictionType=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_usedesignware=false,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_top=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_top=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_top=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_top=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_top=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_top=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_top=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_top=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings=)(clock:18.1:)(clock:18.1:)(reset:18.1:)"
  1186. instancePathKey="nios2_uc:.:nios2"
  1187. kind="altera_nios2_gen2"
  1188. version="18.1"
  1189. name="nios2_uc_nios2">
  1190. <parameter name="mpx_enabled" value="false" />
  1191. <parameter name="ocimem_ramBlockType" value="Automatic" />
  1192. <parameter name="dcache_victim_buf_impl" value="ram" />
  1193. <parameter name="setting_exportPCB" value="false" />
  1194. <parameter name="setting_ic_ecc_present" value="true" />
  1195. <parameter name="dcache_size_derived" value="2048" />
  1196. <parameter name="mmu_udtlbNumEntries" value="6" />
  1197. <parameter
  1198. name="deviceFeaturesSystemInfo"
  1199. value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  1200. <parameter name="bht_ramBlockType" value="Automatic" />
  1201. <parameter name="mmu_TLBMissExcSlave" value="None" />
  1202. <parameter name="impl" value="Tiny" />
  1203. <parameter name="setting_branchpredictiontype" value="Dynamic" />
  1204. <parameter name="tightly_coupled_instruction_master_0_paddr_size" value="0" />
  1205. <parameter name="breakOffset" value="32" />
  1206. <parameter name="setting_activateTrace" value="false" />
  1207. <parameter name="debug_offchiptrace" value="false" />
  1208. <parameter name="setting_avalonDebugPortPresent" value="false" />
  1209. <parameter name="dcache_numTCDM" value="0" />
  1210. <parameter name="setting_tmr_output_disable" value="false" />
  1211. <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  1212. <parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
  1213. <parameter name="debug_debugReqSignals" value="false" />
  1214. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  1215. <parameter name="instruction_master_high_performance_paddr_size" value="0" />
  1216. <parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
  1217. <parameter name="mmu_processIDNumBits" value="8" />
  1218. <parameter name="debug_onchiptrace" value="false" />
  1219. <parameter name="setting_rf_ecc_present" value="true" />
  1220. <parameter name="ocimem_ramInit" value="false" />
  1221. <parameter name="internalIrqMaskSystemInfo" value="1" />
  1222. <parameter name="tightly_coupled_data_master_0_paddr_size" value="0" />
  1223. <parameter name="exceptionAbsoluteAddr" value="262176" />
  1224. <parameter name="icache_size" value="4096" />
  1225. <parameter
  1226. name="dataSlaveMapParam"
  1227. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  1228. <parameter name="mpu_enabled" value="false" />
  1229. <parameter name="flash_instruction_master_paddr_size" value="0" />
  1230. <parameter name="setting_ecc_present" value="false" />
  1231. <parameter name="stratix_dspblock_shift_mul" value="false" />
  1232. <parameter name="shift_rot_impl" value="1" />
  1233. <parameter name="setting_ioregionBypassDCache" value="false" />
  1234. <parameter name="register_file_por" value="false" />
  1235. <parameter name="faAddrWidth" value="1" />
  1236. <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  1237. <parameter name="resetrequest_enabled" value="true" />
  1238. <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  1239. <parameter name="debug_triggerArming" value="true" />
  1240. <parameter name="debug_OCIOnchipTrace" value="_128" />
  1241. <parameter name="dataAddrWidth" value="20" />
  1242. <parameter name="setting_bit31BypassDCache" value="false" />
  1243. <parameter name="instAddrWidth" value="20" />
  1244. <parameter name="io_regionbase" value="0" />
  1245. <parameter name="mul_32_impl" value="2" />
  1246. <parameter name="translate_on" value=" &quot;synthesis translate_on&quot; " />
  1247. <parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
  1248. <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  1249. <parameter name="instruction_master_paddr_base" value="0" />
  1250. <parameter name="userDefinedSettings" value="" />
  1251. <parameter name="mul_64_impl" value="0" />
  1252. <parameter name="clockFrequency" value="50000000" />
  1253. <parameter name="resetOffset" value="0" />
  1254. <parameter name="dcache_ramBlockType" value="Automatic" />
  1255. <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
  1256. <parameter name="mul_shift_choice" value="0" />
  1257. <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  1258. <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  1259. <parameter name="tightly_coupled_data_master_1_paddr_size" value="0" />
  1260. <parameter name="setting_asic_third_party_synthesis" value="false" />
  1261. <parameter name="mpu_minInstRegionSize" value="12" />
  1262. <parameter name="setting_exportdebuginfo" value="false" />
  1263. <parameter name="mmu_tlbPtrSz" value="7" />
  1264. <parameter name="resetSlave" value="onchip_memory2.s1" />
  1265. <parameter name="dcache_bursts_derived" value="false" />
  1266. <parameter name="multiplierType" value="no_mul" />
  1267. <parameter name="debug_traceStorage" value="onchip_trace" />
  1268. <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  1269. <parameter name="fa_cache_linesize" value="0" />
  1270. <parameter name="data_master_paddr_size" value="0" />
  1271. <parameter name="setting_HBreakTest" value="false" />
  1272. <parameter name="setting_disableocitrace" value="false" />
  1273. <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  1274. <parameter name="setting_showInternalSettings" value="false" />
  1275. <parameter name="instructionMasterHighPerformanceMapParam" value="" />
  1276. <parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
  1277. <parameter name="debug_datatrigger" value="0" />
  1278. <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  1279. <parameter name="debug_enabled" value="true" />
  1280. <parameter name="setting_export_large_RAMs" value="false" />
  1281. <parameter name="setting_dc_ecc_present" value="true" />
  1282. <parameter name="dividerType" value="no_div" />
  1283. <parameter name="setting_exportvectors" value="false" />
  1284. <parameter name="breakSlave_derived" value="nios2.debug_mem_slave" />
  1285. <parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
  1286. <parameter name="mmu_ramBlockType" value="Automatic" />
  1287. <parameter name="cdx_enabled" value="false" />
  1288. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="7" />
  1289. <parameter
  1290. name="customInstSlavesSystemInfo"
  1291. value="&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;" />
  1292. <parameter name="tracefilename" value="" />
  1293. <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
  1294. <parameter name="tightly_coupled_instruction_master_2_paddr_size" value="0" />
  1295. <parameter name="setting_oci_version" value="1" />
  1296. <parameter name="icache_burstType" value="None" />
  1297. <parameter name="data_master_high_performance_paddr_size" value="0" />
  1298. <parameter name="setting_disable_tmr_inj" value="false" />
  1299. <parameter name="instruction_master_high_performance_paddr_base" value="0" />
  1300. <parameter name="tightly_coupled_instruction_master_3_paddr_size" value="0" />
  1301. <parameter name="regfile_ramBlockType" value="Automatic" />
  1302. <parameter name="dcache_size" value="2048" />
  1303. <parameter name="breakSlave" value="None" />
  1304. <parameter name="exceptionOffset" value="32" />
  1305. <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  1306. <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  1307. <parameter name="breakAbsoluteAddr" value="526368" />
  1308. <parameter name="setting_ecc_sim_test_ports" value="false" />
  1309. <parameter name="setting_showUnpublishedSettings" value="false" />
  1310. <parameter name="master_addr_map" value="false" />
  1311. <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  1312. <parameter name="resetAbsoluteAddr" value="262144" />
  1313. <parameter name="cpuArchRev" value="1" />
  1314. <parameter name="setting_dtcm_ecc_present" value="true" />
  1315. <parameter name="customInstSlavesSystemInfo_nios_c" value="&lt;info/&gt;" />
  1316. <parameter name="customInstSlavesSystemInfo_nios_b" value="&lt;info/&gt;" />
  1317. <parameter name="customInstSlavesSystemInfo_nios_a" value="&lt;info/&gt;" />
  1318. <parameter name="setting_interruptControllerType" value="Internal" />
  1319. <parameter name="dcache_tagramBlockType" value="Automatic" />
  1320. <parameter name="debug_insttrace" value="false" />
  1321. <parameter name="setting_itcm_ecc_present" value="true" />
  1322. <parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
  1323. <parameter name="mmu_TLBMissExcAbsAddr" value="0" />
  1324. <parameter name="mpu_useLimit" value="false" />
  1325. <parameter name="icache_numTCIM" value="0" />
  1326. <parameter name="setting_usedesignware" value="false" />
  1327. <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  1328. <parameter name="instruction_master_paddr_size" value="0" />
  1329. <parameter name="mmu_TLBMissExcOffset" value="0" />
  1330. <parameter name="mmu_enabled" value="false" />
  1331. <parameter name="mmu_uitlbNumEntries" value="4" />
  1332. <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  1333. <parameter name="setting_activateTestEndChecker" value="false" />
  1334. <parameter name="cpuID" value="0" />
  1335. <parameter name="tightly_coupled_data_master_2_paddr_size" value="0" />
  1336. <parameter name="setting_asic_enabled" value="false" />
  1337. <parameter name="setting_HDLSimCachesCleared" value="true" />
  1338. <parameter name="setting_asic_add_scan_mode_input" value="false" />
  1339. <parameter name="setting_shadowRegisterSets" value="0" />
  1340. <parameter name="tightly_coupled_data_master_3_paddr_size" value="0" />
  1341. <parameter name="icache_ramBlockType" value="Automatic" />
  1342. <parameter name="faSlaveMapParam" value="" />
  1343. <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  1344. <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  1345. <parameter name="fa_cache_line" value="2" />
  1346. <parameter name="debug_assignJtagInstanceID" value="false" />
  1347. <parameter name="setting_activateMonitors" value="true" />
  1348. <parameter name="AUTO_CLK_RESET_DOMAIN" value="1" />
  1349. <parameter name="setting_allow_break_inst" value="false" />
  1350. <parameter name="io_regionsize" value="0" />
  1351. <parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
  1352. <parameter name="translate_off" value=" &quot;synthesis translate_off&quot; " />
  1353. <parameter name="mpu_numOfInstRegion" value="8" />
  1354. <parameter name="flash_instruction_master_paddr_base" value="0" />
  1355. <parameter name="cpuReset" value="false" />
  1356. <parameter name="setting_removeRAMinit" value="false" />
  1357. <parameter name="icache_tagramBlockType" value="Automatic" />
  1358. <parameter name="setting_mmu_ecc_present" value="true" />
  1359. <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" />
  1360. <parameter name="debug_datatrace" value="false" />
  1361. <parameter name="debug_hwbreakpoint" value="0" />
  1362. <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  1363. <parameter name="dataMasterHighPerformanceMapParam" value="" />
  1364. <parameter name="setting_bigEndian" value="false" />
  1365. <parameter name="mpu_minDataRegionSize" value="12" />
  1366. <parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
  1367. <parameter name="debug_jtagInstanceID" value="0" />
  1368. <parameter name="setting_breakslaveoveride" value="false" />
  1369. <parameter name="debug_traceType" value="none" />
  1370. <parameter name="setting_alwaysEncrypt" value="true" />
  1371. <parameter name="setting_oci_export_jtag_signals" value="false" />
  1372. <parameter name="dcache_lineSize_derived" value="32" />
  1373. <parameter name="deviceFamilyName" value="Cyclone IV E" />
  1374. <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  1375. <parameter name="setting_support31bitdcachebypass" value="true" />
  1376. <parameter
  1377. name="instSlaveMapParam"
  1378. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  1379. <parameter name="setting_bhtPtrSz" value="8" />
  1380. <parameter name="setting_exportHostDebugPort" value="false" />
  1381. <parameter name="tmr_enabled" value="false" />
  1382. <parameter name="data_master_paddr_base" value="0" />
  1383. <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  1384. <parameter name="mpu_numOfDataRegion" value="8" />
  1385. <parameter name="data_master_high_performance_paddr_base" value="0" />
  1386. <parameter name="tightly_coupled_instruction_master_1_paddr_size" value="0" />
  1387. <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  1388. <parameter name="dcache_bursts" value="false" />
  1389. <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  1390. <parameter name="setting_fast_register_read" value="false" />
  1391. <parameter name="mmu_tlbNumWays" value="16" />
  1392. <parameter name="shifterType" value="medium_le_shift" />
  1393. <generatedFiles>
  1394. <file
  1395. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2.v"
  1396. type="VERILOG" />
  1397. </generatedFiles>
  1398. <childGeneratedFiles>
  1399. <file
  1400. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.sdc"
  1401. type="SDC"
  1402. attributes="" />
  1403. <file
  1404. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v"
  1405. type="VERILOG"
  1406. attributes="" />
  1407. <file
  1408. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"
  1409. type="VERILOG"
  1410. attributes="" />
  1411. <file
  1412. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"
  1413. type="VERILOG"
  1414. attributes="" />
  1415. <file
  1416. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"
  1417. type="VERILOG"
  1418. attributes="" />
  1419. <file
  1420. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"
  1421. type="MIF"
  1422. attributes="" />
  1423. <file
  1424. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"
  1425. type="MIF"
  1426. attributes="" />
  1427. <file
  1428. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"
  1429. type="MIF"
  1430. attributes="" />
  1431. <file
  1432. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v"
  1433. type="VERILOG"
  1434. attributes="" />
  1435. </childGeneratedFiles>
  1436. <sourceFiles>
  1437. <file
  1438. path="E:/data/quartus/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" />
  1439. </sourceFiles>
  1440. <childSourceFiles>
  1441. <file
  1442. path="E:/data/quartus/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" />
  1443. </childSourceFiles>
  1444. <instantiator instantiator="nios2_uc" as="nios2" />
  1445. <messages>
  1446. <message level="Debug" culprit="nios2_uc">queue size: 11 starting:altera_nios2_gen2 "submodules/nios2_uc_nios2"</message>
  1447. <message level="Progress" culprit="min"></message>
  1448. <message level="Progress" culprit="max"></message>
  1449. <message level="Progress" culprit="current"></message>
  1450. <message level="Debug">Transform: CustomInstructionTransform</message>
  1451. <message level="Debug">No custom instruction connections, skipping transform </message>
  1452. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  1453. <message level="Debug">Transform: MMTransform</message>
  1454. <message level="Debug">Transform: InterruptMapperTransform</message>
  1455. <message level="Debug">Transform: InterruptSyncTransform</message>
  1456. <message level="Debug">Transform: InterruptFanoutTransform</message>
  1457. <message level="Debug">Transform: AvalonStreamingTransform</message>
  1458. <message level="Debug">Transform: ResetAdaptation</message>
  1459. <message level="Debug" culprit="nios2"><![CDATA["<b>nios2</b>" reuses <b>altera_nios2_gen2_unit</b> "<b>submodules/nios2_uc_nios2_cpu</b>"]]></message>
  1460. <message level="Info" culprit="nios2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_nios2_gen2</b> "<b>nios2</b>"]]></message>
  1461. <message level="Debug" culprit="nios2_uc">queue size: 59 starting:altera_nios2_gen2_unit "submodules/nios2_uc_nios2_cpu"</message>
  1462. <message level="Info" culprit="cpu">Starting RTL generation for module 'nios2_uc_nios2_cpu'</message>
  1463. <message level="Info" culprit="cpu"> Generation command is [exec E:/Data/quartus/quartus/bin64//eperlcmd.exe -I E:/Data/quartus/quartus/bin64//perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=nios2_uc_nios2_cpu --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0013_cpu_gen/ --quartus_bindir=E:/Data/quartus/quartus/bin64/ --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0013_cpu_gen//nios2_uc_nios2_cpu_processor_configuration.pl --do_build_sim=0 ]</message>
  1464. <message level="Info" culprit="cpu"># 2020.12.08 21:15:05 (*) Starting Nios II generation</message>
  1465. <message level="Info" culprit="cpu"># 2020.12.08 21:15:05 (*) Checking for plaintext license.</message>
  1466. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) Couldn't query license setup in Quartus directory E:/Data/quartus/quartus/bin64/</message>
  1467. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) Defaulting to contents of LM_LICENSE_FILE environment variable</message>
  1468. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) LM_LICENSE_FILE environment variable is empty</message>
  1469. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Plaintext license not found.</message>
  1470. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) No license required to generate encrypted Nios II/e.</message>
  1471. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Elaborating CPU configuration settings</message>
  1472. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Creating all objects for CPU</message>
  1473. <message level="Info" culprit="cpu"># 2020.12.08 21:15:12 (*) Generating RTL from CPU objects</message>
  1474. <message level="Info" culprit="cpu"># 2020.12.08 21:15:12 (*) Creating plain-text RTL</message>
  1475. <message level="Info" culprit="cpu"># 2020.12.08 21:15:13 (*) Done Nios II generation</message>
  1476. <message level="Info" culprit="cpu">Done RTL generation for module 'nios2_uc_nios2_cpu'</message>
  1477. <message level="Info" culprit="cpu"><![CDATA["<b>nios2</b>" instantiated <b>altera_nios2_gen2_unit</b> "<b>cpu</b>"]]></message>
  1478. </messages>
  1479. </entity>
  1480. <entity
  1481. path="submodules/"
  1482. parameterizationKey="altera_nios_custom_instr_floating_point:18.1:useDivider=1"
  1483. instancePathKey="nios2_uc:.:nios_custom_instr_floating_point_0"
  1484. kind="altera_nios_custom_instr_floating_point"
  1485. version="18.1"
  1486. name="fpoint_wrapper">
  1487. <generatedFiles>
  1488. <file
  1489. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/fpoint_wrapper.v"
  1490. type="VERILOG" />
  1491. <file
  1492. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/fpoint_qsys.v"
  1493. type="VERILOG" />
  1494. <file
  1495. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/fpoint_hw_qsys.v"
  1496. type="VERILOG" />
  1497. </generatedFiles>
  1498. <childGeneratedFiles/>
  1499. <sourceFiles>
  1500. <file
  1501. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_qsys_hw.tcl" />
  1502. <file
  1503. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_wrapper.v" />
  1504. <file
  1505. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_qsys.v" />
  1506. <file
  1507. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_nios_custom_instr_floating_point_qsys/fpoint_hw_qsys.v" />
  1508. </sourceFiles>
  1509. <childSourceFiles/>
  1510. <instantiator instantiator="nios2_uc" as="nios_custom_instr_floating_point_0" />
  1511. <messages>
  1512. <message level="Debug" culprit="nios2_uc">queue size: 11 starting:altera_nios_custom_instr_floating_point "submodules/fpoint_wrapper"</message>
  1513. <message level="Info" culprit="nios_custom_instr_floating_point_0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_nios_custom_instr_floating_point</b> "<b>nios_custom_instr_floating_point_0</b>"]]></message>
  1514. </messages>
  1515. </entity>
  1516. <entity
  1517. path="submodules/"
  1518. parameterizationKey="altera_avalon_onchip_memory2:18.1:allowInSystemMemoryContentEditor=false,autoInitializationFileName=nios2_uc_onchip_memory2,blockType=AUTO,copyInitFile=false,dataWidth=32,dataWidth2=32,derived_enableDiffWidth=false,derived_gui_ram_block_type=Automatic,derived_init_file_name=nios2_uc_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_addr_width2=16,derived_set_data_width=32,derived_set_data_width2=32,derived_singleClockOperation=false,deviceFamily=Cyclone IV E,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,enPRInitMode=false,enableDiffWidth=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=204800,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true"
  1519. instancePathKey="nios2_uc:.:onchip_memory2"
  1520. kind="altera_avalon_onchip_memory2"
  1521. version="18.1"
  1522. name="nios2_uc_onchip_memory2">
  1523. <parameter name="derived_singleClockOperation" value="false" />
  1524. <parameter name="derived_is_hardcopy" value="false" />
  1525. <parameter
  1526. name="deviceFeatures"
  1527. value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  1528. <parameter name="autoInitializationFileName" value="nios2_uc_onchip_memory2" />
  1529. <parameter name="derived_gui_ram_block_type" value="Automatic" />
  1530. <parameter name="enPRInitMode" value="false" />
  1531. <parameter name="useShallowMemBlocks" value="false" />
  1532. <parameter name="writable" value="true" />
  1533. <parameter name="dualPort" value="false" />
  1534. <parameter name="derived_set_addr_width2" value="16" />
  1535. <parameter name="dataWidth" value="32" />
  1536. <parameter name="allowInSystemMemoryContentEditor" value="false" />
  1537. <parameter name="derived_set_addr_width" value="16" />
  1538. <parameter name="derived_init_file_name" value="nios2_uc_onchip_memory2.hex" />
  1539. <parameter name="initializationFileName" value="onchip_mem.hex" />
  1540. <parameter name="singleClockOperation" value="false" />
  1541. <parameter name="derived_set_data_width2" value="32" />
  1542. <parameter name="readDuringWriteMode" value="DONT_CARE" />
  1543. <parameter name="blockType" value="AUTO" />
  1544. <parameter name="derived_enableDiffWidth" value="false" />
  1545. <parameter name="useNonDefaultInitFile" value="false" />
  1546. <parameter name="resetrequest_enabled" value="true" />
  1547. <parameter name="simMemInitOnlyFilename" value="0" />
  1548. <parameter name="copyInitFile" value="false" />
  1549. <parameter name="deviceFamily" value="Cyclone IV E" />
  1550. <parameter name="simAllowMRAMContentsFile" value="false" />
  1551. <parameter name="ecc_enabled" value="false" />
  1552. <parameter name="derived_set_data_width" value="32" />
  1553. <parameter name="instanceID" value="NONE" />
  1554. <parameter name="memorySize" value="204800" />
  1555. <parameter name="dataWidth2" value="32" />
  1556. <parameter name="enableDiffWidth" value="false" />
  1557. <parameter name="initMemContent" value="true" />
  1558. <parameter name="slave1Latency" value="1" />
  1559. <parameter name="slave2Latency" value="1" />
  1560. <generatedFiles>
  1561. <file
  1562. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.hex"
  1563. type="HEX"
  1564. attributes="" />
  1565. <file
  1566. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_onchip_memory2.v"
  1567. type="VERILOG"
  1568. attributes="" />
  1569. </generatedFiles>
  1570. <childGeneratedFiles/>
  1571. <sourceFiles>
  1572. <file
  1573. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
  1574. </sourceFiles>
  1575. <childSourceFiles/>
  1576. <instantiator instantiator="nios2_uc" as="onchip_memory2" />
  1577. <messages>
  1578. <message level="Debug" culprit="nios2_uc">queue size: 10 starting:altera_avalon_onchip_memory2 "submodules/nios2_uc_onchip_memory2"</message>
  1579. <message level="Info" culprit="onchip_memory2">Starting RTL generation for module 'nios2_uc_onchip_memory2'</message>
  1580. <message level="Info" culprit="onchip_memory2"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=nios2_uc_onchip_memory2 --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0004_onchip_memory2_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0004_onchip_memory2_gen//nios2_uc_onchip_memory2_component_configuration.pl --do_build_sim=0 ]</message>
  1581. <message level="Info" culprit="onchip_memory2">Done RTL generation for module 'nios2_uc_onchip_memory2'</message>
  1582. <message level="Info" culprit="onchip_memory2"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_onchip_memory2</b> "<b>onchip_memory2</b>"]]></message>
  1583. </messages>
  1584. </entity>
  1585. <entity
  1586. path="submodules/"
  1587. parameterizationKey="altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=true,derived_has_irq=false,derived_has_out=false,derived_has_tri=false,derived_irq_type=NONE,direction=Input,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=8"
  1588. instancePathKey="nios2_uc:.:pio_BUTTON"
  1589. kind="altera_avalon_pio"
  1590. version="18.1"
  1591. name="nios2_uc_pio_BUTTON">
  1592. <parameter name="derived_do_test_bench_wiring" value="false" />
  1593. <parameter name="generateIRQ" value="false" />
  1594. <parameter name="derived_has_irq" value="false" />
  1595. <parameter name="captureEdge" value="false" />
  1596. <parameter name="clockRate" value="50000000" />
  1597. <parameter name="derived_has_out" value="false" />
  1598. <parameter name="derived_has_in" value="true" />
  1599. <parameter name="resetValue" value="0" />
  1600. <parameter name="derived_has_tri" value="false" />
  1601. <parameter name="derived_capture" value="false" />
  1602. <parameter name="simDoTestBenchWiring" value="false" />
  1603. <parameter name="bitModifyingOutReg" value="false" />
  1604. <parameter name="simDrivenValue" value="0" />
  1605. <parameter name="derived_edge_type" value="NONE" />
  1606. <parameter name="irqType" value="LEVEL" />
  1607. <parameter name="derived_irq_type" value="NONE" />
  1608. <parameter name="edgeType" value="RISING" />
  1609. <parameter name="width" value="8" />
  1610. <parameter name="bitClearingEdgeCapReg" value="false" />
  1611. <parameter name="direction" value="Input" />
  1612. <generatedFiles>
  1613. <file
  1614. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_pio_BUTTON.v"
  1615. type="VERILOG"
  1616. attributes="" />
  1617. </generatedFiles>
  1618. <childGeneratedFiles/>
  1619. <sourceFiles>
  1620. <file
  1621. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  1622. </sourceFiles>
  1623. <childSourceFiles/>
  1624. <instantiator instantiator="nios2_uc" as="pio_BUTTON" />
  1625. <messages>
  1626. <message level="Debug" culprit="nios2_uc">queue size: 9 starting:altera_avalon_pio "submodules/nios2_uc_pio_BUTTON"</message>
  1627. <message level="Info" culprit="pio_BUTTON">Starting RTL generation for module 'nios2_uc_pio_BUTTON'</message>
  1628. <message level="Info" culprit="pio_BUTTON"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_BUTTON --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0005_pio_BUTTON_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0005_pio_BUTTON_gen//nios2_uc_pio_BUTTON_component_configuration.pl --do_build_sim=0 ]</message>
  1629. <message level="Info" culprit="pio_BUTTON">Done RTL generation for module 'nios2_uc_pio_BUTTON'</message>
  1630. <message level="Info" culprit="pio_BUTTON"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_BUTTON</b>"]]></message>
  1631. </messages>
  1632. </entity>
  1633. <entity
  1634. path="submodules/"
  1635. parameterizationKey="altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=false,derived_has_irq=false,derived_has_out=true,derived_has_tri=false,derived_irq_type=NONE,direction=Output,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=32"
  1636. instancePathKey="nios2_uc:.:pio_LED"
  1637. kind="altera_avalon_pio"
  1638. version="18.1"
  1639. name="nios2_uc_pio_LED">
  1640. <parameter name="derived_do_test_bench_wiring" value="false" />
  1641. <parameter name="generateIRQ" value="false" />
  1642. <parameter name="derived_has_irq" value="false" />
  1643. <parameter name="captureEdge" value="false" />
  1644. <parameter name="clockRate" value="50000000" />
  1645. <parameter name="derived_has_out" value="true" />
  1646. <parameter name="derived_has_in" value="false" />
  1647. <parameter name="resetValue" value="0" />
  1648. <parameter name="derived_has_tri" value="false" />
  1649. <parameter name="derived_capture" value="false" />
  1650. <parameter name="simDoTestBenchWiring" value="false" />
  1651. <parameter name="bitModifyingOutReg" value="false" />
  1652. <parameter name="simDrivenValue" value="0" />
  1653. <parameter name="derived_edge_type" value="NONE" />
  1654. <parameter name="irqType" value="LEVEL" />
  1655. <parameter name="derived_irq_type" value="NONE" />
  1656. <parameter name="edgeType" value="RISING" />
  1657. <parameter name="width" value="32" />
  1658. <parameter name="bitClearingEdgeCapReg" value="false" />
  1659. <parameter name="direction" value="Output" />
  1660. <generatedFiles>
  1661. <file
  1662. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_pio_LED.v"
  1663. type="VERILOG"
  1664. attributes="" />
  1665. </generatedFiles>
  1666. <childGeneratedFiles/>
  1667. <sourceFiles>
  1668. <file
  1669. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  1670. </sourceFiles>
  1671. <childSourceFiles/>
  1672. <instantiator instantiator="nios2_uc" as="pio_LED" />
  1673. <messages>
  1674. <message level="Debug" culprit="nios2_uc">queue size: 8 starting:altera_avalon_pio "submodules/nios2_uc_pio_LED"</message>
  1675. <message level="Info" culprit="pio_LED">Starting RTL generation for module 'nios2_uc_pio_LED'</message>
  1676. <message level="Info" culprit="pio_LED"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_LED --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0006_pio_LED_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0006_pio_LED_gen//nios2_uc_pio_LED_component_configuration.pl --do_build_sim=0 ]</message>
  1677. <message level="Info" culprit="pio_LED">Done RTL generation for module 'nios2_uc_pio_LED'</message>
  1678. <message level="Info" culprit="pio_LED"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_LED</b>"]]></message>
  1679. </messages>
  1680. </entity>
  1681. <entity
  1682. path="submodules/"
  1683. parameterizationKey="altera_avalon_pio:18.1:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=false,clockRate=50000000,derived_capture=false,derived_do_test_bench_wiring=false,derived_edge_type=NONE,derived_has_in=false,derived_has_irq=false,derived_has_out=true,derived_has_tri=false,derived_irq_type=NONE,direction=Output,edgeType=RISING,generateIRQ=false,irqType=LEVEL,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=20"
  1684. instancePathKey="nios2_uc:.:pio_MATRIX"
  1685. kind="altera_avalon_pio"
  1686. version="18.1"
  1687. name="nios2_uc_pio_MATRIX">
  1688. <parameter name="derived_do_test_bench_wiring" value="false" />
  1689. <parameter name="generateIRQ" value="false" />
  1690. <parameter name="derived_has_irq" value="false" />
  1691. <parameter name="captureEdge" value="false" />
  1692. <parameter name="clockRate" value="50000000" />
  1693. <parameter name="derived_has_out" value="true" />
  1694. <parameter name="derived_has_in" value="false" />
  1695. <parameter name="resetValue" value="0" />
  1696. <parameter name="derived_has_tri" value="false" />
  1697. <parameter name="derived_capture" value="false" />
  1698. <parameter name="simDoTestBenchWiring" value="false" />
  1699. <parameter name="bitModifyingOutReg" value="false" />
  1700. <parameter name="simDrivenValue" value="0" />
  1701. <parameter name="derived_edge_type" value="NONE" />
  1702. <parameter name="irqType" value="LEVEL" />
  1703. <parameter name="derived_irq_type" value="NONE" />
  1704. <parameter name="edgeType" value="RISING" />
  1705. <parameter name="width" value="20" />
  1706. <parameter name="bitClearingEdgeCapReg" value="false" />
  1707. <parameter name="direction" value="Output" />
  1708. <generatedFiles>
  1709. <file
  1710. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_pio_MATRIX.v"
  1711. type="VERILOG"
  1712. attributes="" />
  1713. </generatedFiles>
  1714. <childGeneratedFiles/>
  1715. <sourceFiles>
  1716. <file
  1717. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  1718. </sourceFiles>
  1719. <childSourceFiles/>
  1720. <instantiator instantiator="nios2_uc" as="pio_MATRIX" />
  1721. <messages>
  1722. <message level="Debug" culprit="nios2_uc">queue size: 7 starting:altera_avalon_pio "submodules/nios2_uc_pio_MATRIX"</message>
  1723. <message level="Info" culprit="pio_MATRIX">Starting RTL generation for module 'nios2_uc_pio_MATRIX'</message>
  1724. <message level="Info" culprit="pio_MATRIX"> Generation command is [exec E:/data/quartus/quartus/bin64/perl/bin/perl.exe -I E:/data/quartus/quartus/bin64/perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/common -I E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- E:/data/quartus/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=nios2_uc_pio_MATRIX --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0007_pio_MATRIX_gen/ --quartus_dir=E:/data/quartus/quartus --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0007_pio_MATRIX_gen//nios2_uc_pio_MATRIX_component_configuration.pl --do_build_sim=0 ]</message>
  1725. <message level="Info" culprit="pio_MATRIX">Done RTL generation for module 'nios2_uc_pio_MATRIX'</message>
  1726. <message level="Info" culprit="pio_MATRIX"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_avalon_pio</b> "<b>pio_MATRIX</b>"]]></message>
  1727. </messages>
  1728. </entity>
  1729. <entity
  1730. path="submodules/"
  1731. parameterizationKey="altera_customins_master_translator:18.1:ENABLE_MULTICYCLE=1,SHARED_COMB_AND_MULTI=1,USE_DATAA=1,USE_DATAB=1,USE_DONE=1,USE_ESTATUS=1,USE_IPENDING=1,USE_MULTI_DATAA=1,USE_MULTI_DATAB=1,USE_MULTI_N=1,USE_MULTI_READRA=1,USE_MULTI_READRB=1,USE_MULTI_RESULT=1,USE_MULTI_WRITERC=1,USE_N=1,USE_READRA=1,USE_READRB=1,USE_START=1,USE_WRITERC=1"
  1732. instancePathKey="nios2_uc:.:nios2_custom_instruction_master_translator"
  1733. kind="altera_customins_master_translator"
  1734. version="18.1"
  1735. name="altera_customins_master_translator">
  1736. <parameter name="USE_WRITERC" value="1" />
  1737. <parameter name="USE_DATAB" value="1" />
  1738. <parameter name="USE_N" value="1" />
  1739. <parameter name="USE_IPENDING" value="1" />
  1740. <parameter name="USE_MULTI_WRITERC" value="1" />
  1741. <parameter name="USE_MULTI_RESULT" value="1" />
  1742. <parameter name="ENABLE_MULTICYCLE" value="1" />
  1743. <parameter name="USE_ESTATUS" value="1" />
  1744. <parameter name="USE_MULTI_N" value="1" />
  1745. <parameter name="USE_MULTI_READRB" value="1" />
  1746. <parameter name="USE_MULTI_READRA" value="1" />
  1747. <parameter name="USE_READRB" value="1" />
  1748. <parameter name="USE_READRA" value="1" />
  1749. <parameter name="USE_START" value="1" />
  1750. <parameter name="USE_DONE" value="1" />
  1751. <parameter name="USE_MULTI_DATAA" value="1" />
  1752. <parameter name="USE_MULTI_DATAB" value="1" />
  1753. <parameter name="USE_DATAA" value="1" />
  1754. <parameter name="SHARED_COMB_AND_MULTI" value="1" />
  1755. <generatedFiles>
  1756. <file
  1757. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_customins_master_translator.v"
  1758. type="VERILOG"
  1759. attributes="" />
  1760. </generatedFiles>
  1761. <childGeneratedFiles/>
  1762. <sourceFiles>
  1763. <file
  1764. path="E:/data/quartus/ip/altera/merlin/altera_customins_master_translator/altera_customins_master_translator_hw.tcl" />
  1765. </sourceFiles>
  1766. <childSourceFiles/>
  1767. <instantiator
  1768. instantiator="nios2_uc"
  1769. as="nios2_custom_instruction_master_translator" />
  1770. <messages>
  1771. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_customins_master_translator "submodules/altera_customins_master_translator"</message>
  1772. <message level="Info" culprit="nios2_custom_instruction_master_translator"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_customins_master_translator</b> "<b>nios2_custom_instruction_master_translator</b>"]]></message>
  1773. </messages>
  1774. </entity>
  1775. <entity
  1776. path="submodules/"
  1777. parameterizationKey="altera_customins_xconnect:18.1:ENABLE_MULTICYCLE=1,MASTER_INDEX=0,OPCODE_H=256,OPCODE_L=252"
  1778. instancePathKey="nios2_uc:.:nios2_custom_instruction_master_multi_xconnect"
  1779. kind="altera_customins_xconnect"
  1780. version="18.1"
  1781. name="nios2_uc_nios2_custom_instruction_master_multi_xconnect">
  1782. <parameter name="OPCODE_L" value="252" />
  1783. <parameter name="OPCODE_H" value="256" />
  1784. <parameter name="MASTER_INDEX" value="0" />
  1785. <parameter name="ENABLE_MULTICYCLE" value="1" />
  1786. <generatedFiles>
  1787. <file
  1788. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect.sv"
  1789. type="SYSTEM_VERILOG"
  1790. attributes="" />
  1791. </generatedFiles>
  1792. <childGeneratedFiles/>
  1793. <sourceFiles>
  1794. <file
  1795. path="E:/data/quartus/ip/altera/merlin/altera_customins_xconnect/altera_customins_xconnect_hw.tcl" />
  1796. </sourceFiles>
  1797. <childSourceFiles/>
  1798. <instantiator
  1799. instantiator="nios2_uc"
  1800. as="nios2_custom_instruction_master_multi_xconnect" />
  1801. <messages>
  1802. <message level="Debug" culprit="nios2_uc">queue size: 5 starting:altera_customins_xconnect "submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect"</message>
  1803. <message level="Info" culprit="nios2_custom_instruction_master_multi_xconnect"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_customins_xconnect</b> "<b>nios2_custom_instruction_master_multi_xconnect</b>"]]></message>
  1804. </messages>
  1805. </entity>
  1806. <entity
  1807. path="submodules/"
  1808. parameterizationKey="altera_customins_slave_translator:18.1:ENABLE_MULTICYCLE=1,NUM_FIXED_CYCLES=1,N_WIDTH=2,USE_DATAA=1,USE_DATAB=1,USE_DONE=1,USE_ESTATUS=0,USE_IPENDING=0,USE_N=1,USE_READRA=0,USE_READRB=0,USE_RESET_REQUEST=0,USE_START=1,USE_WRITERC=0"
  1809. instancePathKey="nios2_uc:.:nios2_custom_instruction_master_multi_slave_translator0"
  1810. kind="altera_customins_slave_translator"
  1811. version="18.1"
  1812. name="altera_customins_slave_translator">
  1813. <parameter name="USE_WRITERC" value="0" />
  1814. <parameter name="USE_DATAB" value="1" />
  1815. <parameter name="USE_N" value="1" />
  1816. <parameter name="USE_IPENDING" value="0" />
  1817. <parameter name="NUM_FIXED_CYCLES" value="1" />
  1818. <parameter name="USE_RESET_REQUEST" value="0" />
  1819. <parameter name="ENABLE_MULTICYCLE" value="1" />
  1820. <parameter name="USE_ESTATUS" value="0" />
  1821. <parameter name="USE_READRB" value="0" />
  1822. <parameter name="USE_READRA" value="0" />
  1823. <parameter name="USE_START" value="1" />
  1824. <parameter name="USE_DONE" value="1" />
  1825. <parameter name="N_WIDTH" value="2" />
  1826. <parameter name="USE_DATAA" value="1" />
  1827. <generatedFiles>
  1828. <file
  1829. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_customins_slave_translator.sv"
  1830. type="SYSTEM_VERILOG"
  1831. attributes="" />
  1832. </generatedFiles>
  1833. <childGeneratedFiles/>
  1834. <sourceFiles>
  1835. <file
  1836. path="E:/data/quartus/ip/altera/merlin/altera_customins_slave_translator/altera_customins_slave_translator_hw.tcl" />
  1837. </sourceFiles>
  1838. <childSourceFiles/>
  1839. <instantiator
  1840. instantiator="nios2_uc"
  1841. as="nios2_custom_instruction_master_multi_slave_translator0" />
  1842. <messages>
  1843. <message level="Debug" culprit="nios2_uc">queue size: 4 starting:altera_customins_slave_translator "submodules/altera_customins_slave_translator"</message>
  1844. <message
  1845. level="Info"
  1846. culprit="nios2_custom_instruction_master_multi_slave_translator0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_customins_slave_translator</b> "<b>nios2_custom_instruction_master_multi_slave_translator0</b>"]]></message>
  1847. </messages>
  1848. </entity>
  1849. <entity
  1850. path="submodules/"
  1851. parameterizationKey="altera_mm_interconnect:18.1:AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_FAMILY=Cyclone IV E,AUTO_DEVICE_SPEEDGRADE=,COMPOSE_CONTENTS=add_instance {nios2_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_data_master_translator} {SYNC_RESET} {0};add_instance {nios2_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_instruction_master_translator} {SYNC_RESET} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {lcd_16207_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_DATA_W} {8};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_SETUP_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_WRITE_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_READ_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_DATA_HOLD} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_TIMING_UNITS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READ} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BEGINTRANSFER} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_debug_mem_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READ} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_LED_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_LED_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_LED_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_MATRIX_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_BUTTON_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_data_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_data_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1852. &lt;address_map&gt;
  1853. &lt;slave
  1854. id=&quot;0&quot;
  1855. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1856. start=&quot;0x0000000000081088&quot;
  1857. end=&quot;0x00000000000081090&quot;
  1858. responds=&quot;1&quot;
  1859. user_default=&quot;0&quot; /&gt;
  1860. &lt;slave
  1861. id=&quot;1&quot;
  1862. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  1863. start=&quot;0x0000000000081070&quot;
  1864. end=&quot;0x00000000000081080&quot;
  1865. responds=&quot;1&quot;
  1866. user_default=&quot;0&quot; /&gt;
  1867. &lt;slave
  1868. id=&quot;2&quot;
  1869. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1870. start=&quot;0x0000000000080800&quot;
  1871. end=&quot;0x00000000000081000&quot;
  1872. responds=&quot;1&quot;
  1873. user_default=&quot;0&quot; /&gt;
  1874. &lt;slave
  1875. id=&quot;3&quot;
  1876. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1877. start=&quot;0x0000000000040000&quot;
  1878. end=&quot;0x00000000000080000&quot;
  1879. responds=&quot;1&quot;
  1880. user_default=&quot;0&quot; /&gt;
  1881. &lt;slave
  1882. id=&quot;5&quot;
  1883. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1884. start=&quot;0x0000000000081060&quot;
  1885. end=&quot;0x00000000000081070&quot;
  1886. responds=&quot;1&quot;
  1887. user_default=&quot;0&quot; /&gt;
  1888. &lt;slave
  1889. id=&quot;6&quot;
  1890. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  1891. start=&quot;0x0000000000081050&quot;
  1892. end=&quot;0x00000000000081060&quot;
  1893. responds=&quot;1&quot;
  1894. user_default=&quot;0&quot; /&gt;
  1895. &lt;slave
  1896. id=&quot;4&quot;
  1897. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  1898. start=&quot;0x0000000000081040&quot;
  1899. end=&quot;0x00000000000081050&quot;
  1900. responds=&quot;1&quot;
  1901. user_default=&quot;0&quot; /&gt;
  1902. &lt;/address_map&gt;
  1903. };set_instance_parameter_value {nios2_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_instruction_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_instruction_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1904. &lt;address_map&gt;
  1905. &lt;slave
  1906. id=&quot;0&quot;
  1907. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1908. start=&quot;0x0000000000081088&quot;
  1909. end=&quot;0x00000000000081090&quot;
  1910. responds=&quot;1&quot;
  1911. user_default=&quot;0&quot; /&gt;
  1912. &lt;slave
  1913. id=&quot;1&quot;
  1914. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  1915. start=&quot;0x0000000000081070&quot;
  1916. end=&quot;0x00000000000081080&quot;
  1917. responds=&quot;1&quot;
  1918. user_default=&quot;0&quot; /&gt;
  1919. &lt;slave
  1920. id=&quot;2&quot;
  1921. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1922. start=&quot;0x0000000000080800&quot;
  1923. end=&quot;0x00000000000081000&quot;
  1924. responds=&quot;1&quot;
  1925. user_default=&quot;0&quot; /&gt;
  1926. &lt;slave
  1927. id=&quot;3&quot;
  1928. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1929. start=&quot;0x0000000000040000&quot;
  1930. end=&quot;0x00000000000080000&quot;
  1931. responds=&quot;1&quot;
  1932. user_default=&quot;0&quot; /&gt;
  1933. &lt;slave
  1934. id=&quot;5&quot;
  1935. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1936. start=&quot;0x0000000000081060&quot;
  1937. end=&quot;0x00000000000081070&quot;
  1938. responds=&quot;1&quot;
  1939. user_default=&quot;0&quot; /&gt;
  1940. &lt;slave
  1941. id=&quot;6&quot;
  1942. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  1943. start=&quot;0x0000000000081050&quot;
  1944. end=&quot;0x00000000000081060&quot;
  1945. responds=&quot;1&quot;
  1946. user_default=&quot;0&quot; /&gt;
  1947. &lt;slave
  1948. id=&quot;4&quot;
  1949. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  1950. start=&quot;0x0000000000081040&quot;
  1951. end=&quot;0x00000000000081050&quot;
  1952. responds=&quot;1&quot;
  1953. user_default=&quot;0&quot; /&gt;
  1954. &lt;/address_map&gt;
  1955. };set_instance_parameter_value {nios2_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {lcd_16207_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {lcd_16207_control_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {lcd_16207_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {lcd_16207_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {lcd_16207_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {lcd_16207_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {lcd_16207_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {lcd_16207_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {lcd_16207_control_slave_agent} {ID} {1};set_instance_parameter_value {lcd_16207_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {ECC_ENABLE} {0};add_instance {lcd_16207_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ID} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_LED_s1_agent} {ID} {5};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_MATRIX_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_MATRIX_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_MATRIX_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_MATRIX_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_MATRIX_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_MATRIX_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_MATRIX_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_MATRIX_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_MATRIX_s1_agent} {ID} {6};set_instance_parameter_value {pio_MATRIX_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {ECC_ENABLE} {0};add_instance {pio_MATRIX_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_BUTTON_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_BUTTON_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_BUTTON_s1_agent} {ID} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {ECC_ENABLE} {0};add_instance {pio_BUTTON_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 4 6 5 1 0 };set_instance_parameter_value {router} {CHANNEL_ID} {0001000 0000100 1000000 0100000 0010000 0000010 0000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both read both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 0x81040 0x81050 0x81060 0x81070 0x81088 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 0x81050 0x81060 0x81070 0x81080 0x81090 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {3};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {3 2 4 6 5 1 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {0001000 0000100 1000000 0100000 0010000 0000010 0000001 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both read both both both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x40000 0x80800 0x81040 0x81050 0x81060 0x81070 0x81088 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x80000 0x81000 0x81050 0x81060 0x81070 0x81080 0x81090 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {3};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_005} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_006} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {55};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_008} {ST_DATA_W} {96};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {7};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {7};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {7};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {7};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_data_master_translator.avalon_universal_master_0} {nios2_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {nios2_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_data_master_agent.rp} {qsys_mm.response};add_connection {nios2_instruction_master_translator.avalon_universal_master_0} {nios2_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {nios2_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {lcd_16207_control_slave_agent.m0} {lcd_16207_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {lcd_16207_control_slave_agent.rf_source} {lcd_16207_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {lcd_16207_control_slave_agent_rsp_fifo.out} {lcd_16207_control_slave_agent.rf_sink} {avalon_streaming};add_connection {lcd_16207_control_slave_agent.rdata_fifo_src} {lcd_16207_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {lcd_16207_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/lcd_16207_control_slave_agent.cp} {qsys_mm.command};add_connection {nios2_debug_mem_slave_agent.m0} {nios2_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_debug_mem_slave_agent.rf_source} {nios2_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent_rsp_fifo.out} {nios2_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent.rdata_fifo_src} {nios2_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {nios2_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/nios2_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {pio_MATRIX_s1_agent.m0} {pio_MATRIX_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_MATRIX_s1_agent.rf_source} {pio_MATRIX_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_MATRIX_s1_agent_rsp_fifo.out} {pio_MATRIX_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_MATRIX_s1_agent.rdata_fifo_src} {pio_MATRIX_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {pio_MATRIX_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/pio_MATRIX_s1_agent.cp} {qsys_mm.command};add_connection {pio_BUTTON_s1_agent.m0} {pio_BUTTON_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_BUTTON_s1_agent.rf_source} {pio_BUTTON_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_BUTTON_s1_agent_rsp_fifo.out} {pio_BUTTON_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_BUTTON_s1_agent.rdata_fifo_src} {pio_BUTTON_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {pio_BUTTON_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/pio_BUTTON_s1_agent.cp} {qsys_mm.command};add_connection {nios2_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {nios2_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {lcd_16207_control_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {lcd_16207_control_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {nios2_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {nios2_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {pio_MATRIX_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {pio_MATRIX_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {pio_BUTTON_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {pio_BUTTON_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src6} {cmd_mux_006.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src6/cmd_mux_006.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_003.src1} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src1/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_004.src1} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src1/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_005.src1} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src1/rsp_mux_001.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_006.src1} {rsp_mux_001.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src1/rsp_mux_001.sink6} {qsys_mm.response};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {nios2_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_reset_reset_bridge.in_reset};add_interface {nios2_data_master} {avalon} {slave};set_interface_property {nios2_data_master} {EXPORT_OF} {nios2_data_master_translator.avalon_anti_master_0};add_interface {nios2_instruction_master} {avalon} {slave};set_interface_property {nios2_instruction_master} {EXPORT_OF} {nios2_instruction_master_translator.avalon_anti_master_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {lcd_16207_control_slave} {avalon} {master};set_interface_property {lcd_16207_control_slave} {EXPORT_OF} {lcd_16207_control_slave_translator.avalon_anti_slave_0};add_interface {nios2_debug_mem_slave} {avalon} {master};set_interface_property {nios2_debug_mem_slave} {EXPORT_OF} {nios2_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {pio_BUTTON_s1} {avalon} {master};set_interface_property {pio_BUTTON_s1} {EXPORT_OF} {pio_BUTTON_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};add_interface {pio_MATRIX_s1} {avalon} {master};set_interface_property {pio_MATRIX_s1} {EXPORT_OF} {pio_MATRIX_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {0};set_module_assignment {interconnect_id.lcd_16207.control_slave} {1};set_module_assignment {interconnect_id.nios2.data_master} {0};set_module_assignment {interconnect_id.nios2.debug_mem_slave} {2};set_module_assignment {interconnect_id.nios2.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.pio_BUTTON.s1} {4};set_module_assignment {interconnect_id.pio_LED.s1} {5};set_module_assignment {interconnect_id.pio_MATRIX.s1} {6};(altera_merlin_master_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,SYNC_RESET=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_master_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=1,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,SYNC_RESET=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=250,AV_DATA_HOLD_CYCLES=13,AV_DATA_W=8,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=250,AV_READ_WAIT_CYCLES=13,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=250,AV_SETUP_WAIT_CYCLES=13,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=0,AV_WRITE_WAIT=250,AV_WRITE_WAIT_CYCLES=13,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=1,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=9,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=16,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=1,AV_READ_WAIT=0,AV_READ_WAIT_CYCLES=0,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=0,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_master_agent:18.1:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  1956. &lt;address_map&gt;
  1957. &lt;slave
  1958. id=&quot;0&quot;
  1959. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  1960. start=&quot;0x0000000000081088&quot;
  1961. end=&quot;0x00000000000081090&quot;
  1962. responds=&quot;1&quot;
  1963. user_default=&quot;0&quot; /&gt;
  1964. &lt;slave
  1965. id=&quot;1&quot;
  1966. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  1967. start=&quot;0x0000000000081070&quot;
  1968. end=&quot;0x00000000000081080&quot;
  1969. responds=&quot;1&quot;
  1970. user_default=&quot;0&quot; /&gt;
  1971. &lt;slave
  1972. id=&quot;2&quot;
  1973. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  1974. start=&quot;0x0000000000080800&quot;
  1975. end=&quot;0x00000000000081000&quot;
  1976. responds=&quot;1&quot;
  1977. user_default=&quot;0&quot; /&gt;
  1978. &lt;slave
  1979. id=&quot;3&quot;
  1980. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  1981. start=&quot;0x0000000000040000&quot;
  1982. end=&quot;0x00000000000080000&quot;
  1983. responds=&quot;1&quot;
  1984. user_default=&quot;0&quot; /&gt;
  1985. &lt;slave
  1986. id=&quot;5&quot;
  1987. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  1988. start=&quot;0x0000000000081060&quot;
  1989. end=&quot;0x00000000000081070&quot;
  1990. responds=&quot;1&quot;
  1991. user_default=&quot;0&quot; /&gt;
  1992. &lt;slave
  1993. id=&quot;6&quot;
  1994. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  1995. start=&quot;0x0000000000081050&quot;
  1996. end=&quot;0x00000000000081060&quot;
  1997. responds=&quot;1&quot;
  1998. user_default=&quot;0&quot; /&gt;
  1999. &lt;slave
  2000. id=&quot;4&quot;
  2001. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  2002. start=&quot;0x0000000000081040&quot;
  2003. end=&quot;0x00000000000081050&quot;
  2004. responds=&quot;1&quot;
  2005. user_default=&quot;0&quot; /&gt;
  2006. &lt;/address_map&gt;
  2007. ,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_master_agent:18.1:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  2008. &lt;address_map&gt;
  2009. &lt;slave
  2010. id=&quot;0&quot;
  2011. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  2012. start=&quot;0x0000000000081088&quot;
  2013. end=&quot;0x00000000000081090&quot;
  2014. responds=&quot;1&quot;
  2015. user_default=&quot;0&quot; /&gt;
  2016. &lt;slave
  2017. id=&quot;1&quot;
  2018. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  2019. start=&quot;0x0000000000081070&quot;
  2020. end=&quot;0x00000000000081080&quot;
  2021. responds=&quot;1&quot;
  2022. user_default=&quot;0&quot; /&gt;
  2023. &lt;slave
  2024. id=&quot;2&quot;
  2025. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  2026. start=&quot;0x0000000000080800&quot;
  2027. end=&quot;0x00000000000081000&quot;
  2028. responds=&quot;1&quot;
  2029. user_default=&quot;0&quot; /&gt;
  2030. &lt;slave
  2031. id=&quot;3&quot;
  2032. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  2033. start=&quot;0x0000000000040000&quot;
  2034. end=&quot;0x00000000000080000&quot;
  2035. responds=&quot;1&quot;
  2036. user_default=&quot;0&quot; /&gt;
  2037. &lt;slave
  2038. id=&quot;5&quot;
  2039. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  2040. start=&quot;0x0000000000081060&quot;
  2041. end=&quot;0x00000000000081070&quot;
  2042. responds=&quot;1&quot;
  2043. user_default=&quot;0&quot; /&gt;
  2044. &lt;slave
  2045. id=&quot;6&quot;
  2046. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  2047. start=&quot;0x0000000000081050&quot;
  2048. end=&quot;0x00000000000081060&quot;
  2049. responds=&quot;1&quot;
  2050. user_default=&quot;0&quot; /&gt;
  2051. &lt;slave
  2052. id=&quot;4&quot;
  2053. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  2054. start=&quot;0x0000000000081040&quot;
  2055. end=&quot;0x00000000000081050&quot;
  2056. responds=&quot;1&quot;
  2057. user_default=&quot;0&quot; /&gt;
  2058. &lt;/address_map&gt;
  2059. ,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=1,BURSTWRAP_VALUE=3,CACHE_VALUE=0,ID=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=0,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=1,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=2,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=3,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=5,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=6,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=4,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_router:18.1:CHANNEL_ID=0001000,0000100,1000000,0100000,0010000,0000010,0000001,DECODER_TYPE=0,DEFAULT_CHANNEL=3,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,4,6,5,1,0,END_ADDRESS=0x80000,0x81000,0x81050,0x81060,0x81070,0x81080,0x81090,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,0,SLAVES_INFO=3:0001000:0x40000:0x80000:both:1:0:0:1,2:0000100:0x80800:0x81000:both:1:0:0:1,4:1000000:0x81040:0x81050:read:1:0:0:1,6:0100000:0x81050:0x81060:both:1:0:0:1,5:0010000:0x81060:0x81070:both:1:0:0:1,1:0000010:0x81070:0x81080:both:1:0:0:1,0:0000001:0x81088:0x81090:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,0x81040,0x81050,0x81060,0x81070,0x81088,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,read,both,both,both,both)(altera_merlin_router:18.1:CHANNEL_ID=0001000,0000100,1000000,0100000,0010000,0000010,0000001,DECODER_TYPE=0,DEFAULT_CHANNEL=3,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,4,6,5,1,0,END_ADDRESS=0x80000,0x81000,0x81050,0x81060,0x81070,0x81080,0x81090,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,0,SLAVES_INFO=3:0001000:0x40000:0x80000:both:1:0:0:1,2:0000100:0x80800:0x81000:both:1:0:0:1,4:1000000:0x81040:0x81050:read:1:0:0:1,6:0100000:0x81050:0x81060:both:1:0:0:1,5:0010000:0x81060:0x81070:both:1:0:0:1,1:0000010:0x81070:0x81080:both:1:0:0:1,0:0000001:0x81088:0x81090:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,0x81040,0x81050,0x81060,0x81070,0x81088,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,read,both,both,both,both)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=7,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=7,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=7,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=7,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=50000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=0)(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=0,EXPLICIT_CLOCK_RATE=50000000,NUM_CLOCK_OUTPUTS=1)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon:18.1:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(avalon_streaming:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(reset:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)(clock:18.1:)"
  2060. instancePathKey="nios2_uc:.:mm_interconnect_0"
  2061. kind="altera_mm_interconnect"
  2062. version="18.1"
  2063. name="nios2_uc_mm_interconnect_0">
  2064. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  2065. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  2066. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="" />
  2067. <parameter
  2068. name="COMPOSE_CONTENTS"
  2069. value="add_instance {nios2_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_data_master_translator} {SYNC_RESET} {0};add_instance {nios2_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_instruction_master_translator} {SYNC_RESET} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {lcd_16207_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_DATA_W} {8};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_SETUP_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_WRITE_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_READ_WAIT} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_DATA_HOLD} {250};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_TIMING_UNITS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READ} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BEGINTRANSFER} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {lcd_16207_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_debug_mem_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READ} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_debug_mem_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_debug_mem_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_LED_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_LED_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_LED_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_LED_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_LED_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_LED_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_LED_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_MATRIX_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_MATRIX_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {pio_BUTTON_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READ} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {pio_BUTTON_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_data_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_data_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  2070. &lt;address_map&gt;
  2071. &lt;slave
  2072. id=&quot;0&quot;
  2073. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  2074. start=&quot;0x0000000000081088&quot;
  2075. end=&quot;0x00000000000081090&quot;
  2076. responds=&quot;1&quot;
  2077. user_default=&quot;0&quot; /&gt;
  2078. &lt;slave
  2079. id=&quot;1&quot;
  2080. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  2081. start=&quot;0x0000000000081070&quot;
  2082. end=&quot;0x00000000000081080&quot;
  2083. responds=&quot;1&quot;
  2084. user_default=&quot;0&quot; /&gt;
  2085. &lt;slave
  2086. id=&quot;2&quot;
  2087. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  2088. start=&quot;0x0000000000080800&quot;
  2089. end=&quot;0x00000000000081000&quot;
  2090. responds=&quot;1&quot;
  2091. user_default=&quot;0&quot; /&gt;
  2092. &lt;slave
  2093. id=&quot;3&quot;
  2094. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  2095. start=&quot;0x0000000000040000&quot;
  2096. end=&quot;0x00000000000080000&quot;
  2097. responds=&quot;1&quot;
  2098. user_default=&quot;0&quot; /&gt;
  2099. &lt;slave
  2100. id=&quot;5&quot;
  2101. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  2102. start=&quot;0x0000000000081060&quot;
  2103. end=&quot;0x00000000000081070&quot;
  2104. responds=&quot;1&quot;
  2105. user_default=&quot;0&quot; /&gt;
  2106. &lt;slave
  2107. id=&quot;6&quot;
  2108. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  2109. start=&quot;0x0000000000081050&quot;
  2110. end=&quot;0x00000000000081060&quot;
  2111. responds=&quot;1&quot;
  2112. user_default=&quot;0&quot; /&gt;
  2113. &lt;slave
  2114. id=&quot;4&quot;
  2115. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  2116. start=&quot;0x0000000000081040&quot;
  2117. end=&quot;0x00000000000081050&quot;
  2118. responds=&quot;1&quot;
  2119. user_default=&quot;0&quot; /&gt;
  2120. &lt;/address_map&gt;
  2121. };set_instance_parameter_value {nios2_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_instruction_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_instruction_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  2122. &lt;address_map&gt;
  2123. &lt;slave
  2124. id=&quot;0&quot;
  2125. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  2126. start=&quot;0x0000000000081088&quot;
  2127. end=&quot;0x00000000000081090&quot;
  2128. responds=&quot;1&quot;
  2129. user_default=&quot;0&quot; /&gt;
  2130. &lt;slave
  2131. id=&quot;1&quot;
  2132. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  2133. start=&quot;0x0000000000081070&quot;
  2134. end=&quot;0x00000000000081080&quot;
  2135. responds=&quot;1&quot;
  2136. user_default=&quot;0&quot; /&gt;
  2137. &lt;slave
  2138. id=&quot;2&quot;
  2139. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  2140. start=&quot;0x0000000000080800&quot;
  2141. end=&quot;0x00000000000081000&quot;
  2142. responds=&quot;1&quot;
  2143. user_default=&quot;0&quot; /&gt;
  2144. &lt;slave
  2145. id=&quot;3&quot;
  2146. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  2147. start=&quot;0x0000000000040000&quot;
  2148. end=&quot;0x00000000000080000&quot;
  2149. responds=&quot;1&quot;
  2150. user_default=&quot;0&quot; /&gt;
  2151. &lt;slave
  2152. id=&quot;5&quot;
  2153. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  2154. start=&quot;0x0000000000081060&quot;
  2155. end=&quot;0x00000000000081070&quot;
  2156. responds=&quot;1&quot;
  2157. user_default=&quot;0&quot; /&gt;
  2158. &lt;slave
  2159. id=&quot;6&quot;
  2160. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  2161. start=&quot;0x0000000000081050&quot;
  2162. end=&quot;0x00000000000081060&quot;
  2163. responds=&quot;1&quot;
  2164. user_default=&quot;0&quot; /&gt;
  2165. &lt;slave
  2166. id=&quot;4&quot;
  2167. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  2168. start=&quot;0x0000000000081040&quot;
  2169. end=&quot;0x00000000000081050&quot;
  2170. responds=&quot;1&quot;
  2171. user_default=&quot;0&quot; /&gt;
  2172. &lt;/address_map&gt;
  2173. };set_instance_parameter_value {nios2_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {lcd_16207_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {lcd_16207_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {lcd_16207_control_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {lcd_16207_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {lcd_16207_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {lcd_16207_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {lcd_16207_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {lcd_16207_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {lcd_16207_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {lcd_16207_control_slave_agent} {ID} {1};set_instance_parameter_value {lcd_16207_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {lcd_16207_control_slave_agent} {ECC_ENABLE} {0};add_instance {lcd_16207_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {lcd_16207_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ID} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_LED_s1_agent} {ID} {5};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_MATRIX_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_MATRIX_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_MATRIX_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_MATRIX_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_MATRIX_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_MATRIX_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_MATRIX_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_MATRIX_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_MATRIX_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_MATRIX_s1_agent} {ID} {6};set_instance_parameter_value {pio_MATRIX_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_MATRIX_s1_agent} {ECC_ENABLE} {0};add_instance {pio_MATRIX_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_MATRIX_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_BUTTON_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_BUTTON_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {pio_BUTTON_s1_agent} {ID} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {ECC_ENABLE} {0};add_instance {pio_BUTTON_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 4 6 5 1 0 };set_instance_parameter_value {router} {CHANNEL_ID} {0001000 0000100 1000000 0100000 0010000 0000010 0000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both read both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 0x81040 0x81050 0x81060 0x81070 0x81088 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 0x81050 0x81060 0x81070 0x81080 0x81090 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {3};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {3 2 4 6 5 1 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {0001000 0000100 1000000 0100000 0010000 0000010 0000001 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both read both both both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x40000 0x80800 0x81040 0x81050 0x81060 0x81070 0x81088 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x80000 0x81000 0x81050 0x81060 0x81070 0x81080 0x81090 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {3};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_005} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_006} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {55};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_008} {ST_DATA_W} {96};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {7};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {7};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {7};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {7};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_data_master_translator.avalon_universal_master_0} {nios2_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_data_master_translator.avalon_universal_master_0/nios2_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {nios2_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_data_master_agent.rp} {qsys_mm.response};add_connection {nios2_instruction_master_translator.avalon_universal_master_0} {nios2_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_instruction_master_translator.avalon_universal_master_0/nios2_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {nios2_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {lcd_16207_control_slave_agent.m0} {lcd_16207_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {lcd_16207_control_slave_agent.m0/lcd_16207_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {lcd_16207_control_slave_agent.rf_source} {lcd_16207_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {lcd_16207_control_slave_agent_rsp_fifo.out} {lcd_16207_control_slave_agent.rf_sink} {avalon_streaming};add_connection {lcd_16207_control_slave_agent.rdata_fifo_src} {lcd_16207_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {lcd_16207_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/lcd_16207_control_slave_agent.cp} {qsys_mm.command};add_connection {nios2_debug_mem_slave_agent.m0} {nios2_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_debug_mem_slave_agent.m0/nios2_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_debug_mem_slave_agent.rf_source} {nios2_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent_rsp_fifo.out} {nios2_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_debug_mem_slave_agent.rdata_fifo_src} {nios2_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {nios2_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/nios2_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {pio_MATRIX_s1_agent.m0} {pio_MATRIX_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_MATRIX_s1_agent.m0/pio_MATRIX_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_MATRIX_s1_agent.rf_source} {pio_MATRIX_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_MATRIX_s1_agent_rsp_fifo.out} {pio_MATRIX_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_MATRIX_s1_agent.rdata_fifo_src} {pio_MATRIX_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {pio_MATRIX_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/pio_MATRIX_s1_agent.cp} {qsys_mm.command};add_connection {pio_BUTTON_s1_agent.m0} {pio_BUTTON_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_BUTTON_s1_agent.rf_source} {pio_BUTTON_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_BUTTON_s1_agent_rsp_fifo.out} {pio_BUTTON_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_BUTTON_s1_agent.rdata_fifo_src} {pio_BUTTON_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {pio_BUTTON_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/pio_BUTTON_s1_agent.cp} {qsys_mm.command};add_connection {nios2_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {nios2_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {lcd_16207_control_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {lcd_16207_control_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {nios2_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {nios2_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {pio_MATRIX_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {pio_MATRIX_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {pio_BUTTON_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {pio_BUTTON_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src6} {cmd_mux_006.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src6/cmd_mux_006.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_003.src1} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src1/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_004.src1} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src1/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_005.src1} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src1/rsp_mux_001.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_006.src1} {rsp_mux_001.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src1/rsp_mux_001.sink6} {qsys_mm.response};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_translator.reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_data_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_instruction_master_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {lcd_16207_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {nios2_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_MATRIX_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_data_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_instruction_master_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {lcd_16207_control_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_MATRIX_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {nios2_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {nios2_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_reset_reset_bridge.in_reset};add_interface {nios2_data_master} {avalon} {slave};set_interface_property {nios2_data_master} {EXPORT_OF} {nios2_data_master_translator.avalon_anti_master_0};add_interface {nios2_instruction_master} {avalon} {slave};set_interface_property {nios2_instruction_master} {EXPORT_OF} {nios2_instruction_master_translator.avalon_anti_master_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {lcd_16207_control_slave} {avalon} {master};set_interface_property {lcd_16207_control_slave} {EXPORT_OF} {lcd_16207_control_slave_translator.avalon_anti_slave_0};add_interface {nios2_debug_mem_slave} {avalon} {master};set_interface_property {nios2_debug_mem_slave} {EXPORT_OF} {nios2_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {pio_BUTTON_s1} {avalon} {master};set_interface_property {pio_BUTTON_s1} {EXPORT_OF} {pio_BUTTON_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};add_interface {pio_MATRIX_s1} {avalon} {master};set_interface_property {pio_MATRIX_s1} {EXPORT_OF} {pio_MATRIX_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {0};set_module_assignment {interconnect_id.lcd_16207.control_slave} {1};set_module_assignment {interconnect_id.nios2.data_master} {0};set_module_assignment {interconnect_id.nios2.debug_mem_slave} {2};set_module_assignment {interconnect_id.nios2.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.pio_BUTTON.s1} {4};set_module_assignment {interconnect_id.pio_LED.s1} {5};set_module_assignment {interconnect_id.pio_MATRIX.s1} {6};" />
  2174. <generatedFiles>
  2175. <file
  2176. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0.v"
  2177. type="VERILOG" />
  2178. </generatedFiles>
  2179. <childGeneratedFiles>
  2180. <file
  2181. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv"
  2182. type="SYSTEM_VERILOG"
  2183. attributes="" />
  2184. <file
  2185. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv"
  2186. type="SYSTEM_VERILOG"
  2187. attributes="" />
  2188. <file
  2189. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv"
  2190. type="SYSTEM_VERILOG"
  2191. attributes="" />
  2192. <file
  2193. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv"
  2194. type="SYSTEM_VERILOG"
  2195. attributes="" />
  2196. <file
  2197. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
  2198. type="SYSTEM_VERILOG"
  2199. attributes="" />
  2200. <file
  2201. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_avalon_sc_fifo.v"
  2202. type="VERILOG"
  2203. attributes="" />
  2204. <file
  2205. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv"
  2206. type="SYSTEM_VERILOG"
  2207. attributes="" />
  2208. <file
  2209. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv"
  2210. type="SYSTEM_VERILOG"
  2211. attributes="" />
  2212. <file
  2213. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv"
  2214. type="SYSTEM_VERILOG"
  2215. attributes="" />
  2216. <file
  2217. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv"
  2218. type="SYSTEM_VERILOG"
  2219. attributes="" />
  2220. <file
  2221. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  2222. type="SYSTEM_VERILOG"
  2223. attributes="" />
  2224. <file
  2225. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv"
  2226. type="SYSTEM_VERILOG"
  2227. attributes="" />
  2228. <file
  2229. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv"
  2230. type="SYSTEM_VERILOG"
  2231. attributes="" />
  2232. <file
  2233. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  2234. type="SYSTEM_VERILOG"
  2235. attributes="" />
  2236. <file
  2237. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v"
  2238. type="VERILOG" />
  2239. <file
  2240. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  2241. type="SYSTEM_VERILOG"
  2242. attributes="" />
  2243. </childGeneratedFiles>
  2244. <sourceFiles>
  2245. <file
  2246. path="E:/data/quartus/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
  2247. </sourceFiles>
  2248. <childSourceFiles>
  2249. <file
  2250. path="E:/data/quartus/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  2251. <file
  2252. path="E:/data/quartus/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  2253. <file
  2254. path="E:/data/quartus/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  2255. <file
  2256. path="E:/data/quartus/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  2257. <file
  2258. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
  2259. <file
  2260. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  2261. <file
  2262. path="E:/data/quartus/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  2263. <file
  2264. path="E:/data/quartus/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  2265. <file
  2266. path="E:/data/quartus/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  2267. <file
  2268. path="E:/data/quartus/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  2269. <file
  2270. path="E:/data/quartus/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  2271. <file
  2272. path="E:/data/quartus/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  2273. <file
  2274. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" />
  2275. <file
  2276. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  2277. </childSourceFiles>
  2278. <instantiator instantiator="nios2_uc" as="mm_interconnect_0" />
  2279. <messages>
  2280. <message level="Debug" culprit="nios2_uc">queue size: 3 starting:altera_mm_interconnect "submodules/nios2_uc_mm_interconnect_0"</message>
  2281. <message level="Progress" culprit="min"></message>
  2282. <message level="Progress" culprit="max"></message>
  2283. <message level="Progress" culprit="current"></message>
  2284. <message level="Debug">Transform: CustomInstructionTransform</message>
  2285. <message level="Debug">No custom instruction connections, skipping transform </message>
  2286. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2287. <message level="Debug">Transform: MMTransform</message>
  2288. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2289. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2290. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2291. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2292. <message level="Debug">Transform: TranslatorTransform</message>
  2293. <message level="Debug">No Avalon connections, skipping transform </message>
  2294. <message level="Debug">Transform: IDPadTransform</message>
  2295. <message level="Debug">Transform: DomainTransform</message>
  2296. <message level="Debug">Transform: RouterTransform</message>
  2297. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2298. <message level="Debug">Transform: BurstTransform</message>
  2299. <message level="Debug">Transform: TreeTransform</message>
  2300. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2301. <message level="Debug">Transform: WidthTransform</message>
  2302. <message level="Debug">Transform: RouterTableTransform</message>
  2303. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2304. <message level="Debug">Transform: ClockCrossingTransform</message>
  2305. <message level="Debug">Transform: PipelineTransform</message>
  2306. <message level="Debug">Transform: SpotPipelineTransform</message>
  2307. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2308. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2309. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2310. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2311. <message level="Debug">Transform: HierarchyTransform</message>
  2312. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2313. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2314. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2315. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2316. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2317. <message level="Debug">Transform: TranslatorTransform</message>
  2318. <message level="Debug">No Avalon connections, skipping transform </message>
  2319. <message level="Debug">Transform: IDPadTransform</message>
  2320. <message level="Debug">Transform: DomainTransform</message>
  2321. <message level="Debug">Transform: RouterTransform</message>
  2322. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2323. <message level="Debug">Transform: BurstTransform</message>
  2324. <message level="Debug">Transform: TreeTransform</message>
  2325. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2326. <message level="Debug">Transform: WidthTransform</message>
  2327. <message level="Debug">Transform: RouterTableTransform</message>
  2328. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2329. <message level="Debug">Transform: ClockCrossingTransform</message>
  2330. <message level="Debug">Transform: PipelineTransform</message>
  2331. <message level="Debug">Transform: SpotPipelineTransform</message>
  2332. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2333. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2334. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2335. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2336. <message level="Debug">Transform: HierarchyTransform</message>
  2337. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2338. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2339. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2340. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2341. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2342. <message level="Debug">Transform: TranslatorTransform</message>
  2343. <message level="Debug">No Avalon connections, skipping transform </message>
  2344. <message level="Debug">Transform: IDPadTransform</message>
  2345. <message level="Debug">Transform: DomainTransform</message>
  2346. <message level="Debug">Transform: RouterTransform</message>
  2347. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2348. <message level="Debug">Transform: BurstTransform</message>
  2349. <message level="Debug">Transform: TreeTransform</message>
  2350. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2351. <message level="Debug">Transform: WidthTransform</message>
  2352. <message level="Debug">Transform: RouterTableTransform</message>
  2353. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2354. <message level="Debug">Transform: ClockCrossingTransform</message>
  2355. <message level="Debug">Transform: PipelineTransform</message>
  2356. <message level="Debug">Transform: SpotPipelineTransform</message>
  2357. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2358. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2359. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2360. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2361. <message level="Debug">Transform: HierarchyTransform</message>
  2362. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2363. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2364. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2365. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2366. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2367. <message level="Debug">Transform: TranslatorTransform</message>
  2368. <message level="Debug">No Avalon connections, skipping transform </message>
  2369. <message level="Debug">Transform: IDPadTransform</message>
  2370. <message level="Debug">Transform: DomainTransform</message>
  2371. <message level="Debug">Transform: RouterTransform</message>
  2372. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2373. <message level="Debug">Transform: BurstTransform</message>
  2374. <message level="Debug">Transform: TreeTransform</message>
  2375. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2376. <message level="Debug">Transform: WidthTransform</message>
  2377. <message level="Debug">Transform: RouterTableTransform</message>
  2378. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2379. <message level="Debug">Transform: ClockCrossingTransform</message>
  2380. <message level="Debug">Transform: PipelineTransform</message>
  2381. <message level="Debug">Transform: SpotPipelineTransform</message>
  2382. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2383. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2384. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2385. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2386. <message level="Debug">Transform: HierarchyTransform</message>
  2387. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2388. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2389. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2390. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2391. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2392. <message level="Debug">Transform: TranslatorTransform</message>
  2393. <message level="Debug">No Avalon connections, skipping transform </message>
  2394. <message level="Debug">Transform: IDPadTransform</message>
  2395. <message level="Debug">Transform: DomainTransform</message>
  2396. <message level="Debug">Transform: RouterTransform</message>
  2397. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2398. <message level="Debug">Transform: BurstTransform</message>
  2399. <message level="Debug">Transform: TreeTransform</message>
  2400. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2401. <message level="Debug">Transform: WidthTransform</message>
  2402. <message level="Debug">Transform: RouterTableTransform</message>
  2403. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2404. <message level="Debug">Transform: ClockCrossingTransform</message>
  2405. <message level="Debug">Transform: PipelineTransform</message>
  2406. <message level="Debug">Transform: SpotPipelineTransform</message>
  2407. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2408. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2409. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2410. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2411. <message level="Debug">Transform: HierarchyTransform</message>
  2412. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2413. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2414. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2415. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2416. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2417. <message level="Debug">Transform: TranslatorTransform</message>
  2418. <message level="Debug">No Avalon connections, skipping transform </message>
  2419. <message level="Debug">Transform: IDPadTransform</message>
  2420. <message level="Debug">Transform: DomainTransform</message>
  2421. <message level="Debug">Transform: RouterTransform</message>
  2422. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2423. <message level="Debug">Transform: BurstTransform</message>
  2424. <message level="Debug">Transform: TreeTransform</message>
  2425. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2426. <message level="Debug">Transform: WidthTransform</message>
  2427. <message level="Debug">Transform: RouterTableTransform</message>
  2428. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2429. <message level="Debug">Transform: ClockCrossingTransform</message>
  2430. <message level="Debug">Transform: PipelineTransform</message>
  2431. <message level="Debug">Transform: SpotPipelineTransform</message>
  2432. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2433. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2434. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2435. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2436. <message level="Debug">Transform: HierarchyTransform</message>
  2437. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2438. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2439. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2440. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2441. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2442. <message level="Debug">Transform: TranslatorTransform</message>
  2443. <message level="Debug">No Avalon connections, skipping transform </message>
  2444. <message level="Debug">Transform: IDPadTransform</message>
  2445. <message level="Debug">Transform: DomainTransform</message>
  2446. <message level="Debug">Transform: RouterTransform</message>
  2447. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2448. <message level="Debug">Transform: BurstTransform</message>
  2449. <message level="Debug">Transform: TreeTransform</message>
  2450. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2451. <message level="Debug">Transform: WidthTransform</message>
  2452. <message level="Debug">Transform: RouterTableTransform</message>
  2453. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2454. <message level="Debug">Transform: ClockCrossingTransform</message>
  2455. <message level="Debug">Transform: PipelineTransform</message>
  2456. <message level="Debug">Transform: SpotPipelineTransform</message>
  2457. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2458. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2459. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2460. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2461. <message level="Debug">Transform: HierarchyTransform</message>
  2462. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2463. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2464. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2465. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2466. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2467. <message level="Debug">Transform: TranslatorTransform</message>
  2468. <message level="Debug">No Avalon connections, skipping transform </message>
  2469. <message level="Debug">Transform: IDPadTransform</message>
  2470. <message level="Debug">Transform: DomainTransform</message>
  2471. <message level="Debug">Transform: RouterTransform</message>
  2472. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2473. <message level="Debug">Transform: BurstTransform</message>
  2474. <message level="Debug">Transform: TreeTransform</message>
  2475. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2476. <message level="Debug">Transform: WidthTransform</message>
  2477. <message level="Debug">Transform: RouterTableTransform</message>
  2478. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2479. <message level="Debug">Transform: ClockCrossingTransform</message>
  2480. <message level="Debug">Transform: PipelineTransform</message>
  2481. <message level="Debug">Transform: SpotPipelineTransform</message>
  2482. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2483. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2484. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2485. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2486. <message level="Debug">Transform: HierarchyTransform</message>
  2487. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2488. <message level="Debug">Transform: InitialInterconnectTransform</message>
  2489. <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
  2490. <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
  2491. <message level="Debug">Transform: DefaultSlaveTransform</message>
  2492. <message level="Debug">Transform: TranslatorTransform</message>
  2493. <message level="Debug">No Avalon connections, skipping transform </message>
  2494. <message level="Debug">Transform: IDPadTransform</message>
  2495. <message level="Debug">Transform: DomainTransform</message>
  2496. <message level="Debug">Transform: RouterTransform</message>
  2497. <message level="Debug">Transform: TrafficLimiterTransform</message>
  2498. <message level="Debug">Transform: BurstTransform</message>
  2499. <message level="Debug">Transform: TreeTransform</message>
  2500. <message level="Debug">Transform: NetworkToSwitchTransform</message>
  2501. <message level="Debug">Transform: WidthTransform</message>
  2502. <message level="Debug">Transform: RouterTableTransform</message>
  2503. <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
  2504. <message level="Debug">Transform: ClockCrossingTransform</message>
  2505. <message level="Debug">Transform: PipelineTransform</message>
  2506. <message level="Debug">Transform: SpotPipelineTransform</message>
  2507. <message level="Debug">Transform: PerformanceMonitorTransform</message>
  2508. <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
  2509. <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
  2510. <message level="Debug">Transform: InterconnectConnectionsTagger</message>
  2511. <message level="Debug">Transform: HierarchyTransform</message>
  2512. <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>54</b> modules, <b>190</b> connections]]></message>
  2513. <message level="Debug">Transform: InterruptMapperTransform</message>
  2514. <message level="Debug">Transform: InterruptSyncTransform</message>
  2515. <message level="Debug">Transform: InterruptFanoutTransform</message>
  2516. <message level="Debug">Transform: AvalonStreamingTransform</message>
  2517. <message level="Progress" culprit="min"></message>
  2518. <message level="Progress" culprit="max"></message>
  2519. <message level="Progress" culprit="current"></message>
  2520. <message level="Info" culprit="avalon_st_adapter">Inserting error_adapter: error_adapter_0</message>
  2521. <message level="Debug" culprit="avalon_st_adapter.clk_bridge_0">Timing: ELA:1/0.000s</message>
  2522. <message level="Debug" culprit="avalon_st_adapter.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  2523. <message level="Debug" culprit="avalon_st_adapter.error_adapter_0">Timing: ELA:1/0.016s</message>
  2524. <message level="Debug" culprit="avalon_st_adapter">Timing: COM:3/0.054s/0.066s</message>
  2525. <message level="Progress" culprit="min"></message>
  2526. <message level="Progress" culprit="max"></message>
  2527. <message level="Progress" culprit="current"></message>
  2528. <message level="Info" culprit="avalon_st_adapter_001">Inserting error_adapter: error_adapter_0</message>
  2529. <message level="Debug" culprit="avalon_st_adapter_001.clk_bridge_0">Timing: ELA:1/0.000s</message>
  2530. <message level="Debug" culprit="avalon_st_adapter_001.rst_bridge_0">Timing: ELA:2/0.000s/0.000s</message>
  2531. <message level="Debug" culprit="avalon_st_adapter_001.error_adapter_0">Timing: ELA:1/0.011s</message>
  2532. <message level="Debug" culprit="avalon_st_adapter_001">Timing: COM:3/0.022s/0.027s</message>
  2533. <message level="Progress" culprit="min"></message>
  2534. <message level="Progress" culprit="max"></message>
  2535. <message level="Progress" culprit="current"></message>
  2536. <message level="Info" culprit="avalon_st_adapter_002">Inserting error_adapter: error_adapter_0</message>
  2537. <message level="Debug" culprit="avalon_st_adapter_002.clk_bridge_0">Timing: ELA:1/0.000s</message>
  2538. <message level="Debug" culprit="avalon_st_adapter_002.rst_bridge_0">Timing: ELA:2/0.001s/0.001s</message>
  2539. <message level="Debug" culprit="avalon_st_adapter_002.error_adapter_0">Timing: ELA:1/0.010s</message>
  2540. <message level="Debug" culprit="avalon_st_adapter_002">Timing: COM:3/0.019s/0.020s</message>
  2541. <message level="Progress" culprit="min"></message>
  2542. <message level="Progress" culprit="max"></message>
  2543. <message level="Progress" culprit="current"></message>
  2544. <message level="Info" culprit="avalon_st_adapter_003">Inserting error_adapter: error_adapter_0</message>
  2545. <message level="Debug" culprit="avalon_st_adapter_003.clk_bridge_0">Timing: ELA:1/0.000s</message>
  2546. <message level="Debug" culprit="avalon_st_adapter_003.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  2547. <message level="Debug" culprit="avalon_st_adapter_003.error_adapter_0">Timing: ELA:1/0.068s</message>
  2548. <message level="Debug" culprit="avalon_st_adapter_003">Timing: COM:3/0.039s/0.078s</message>
  2549. <message level="Progress" culprit="min"></message>
  2550. <message level="Progress" culprit="max"></message>
  2551. <message level="Progress" culprit="current"></message>
  2552. <message level="Info" culprit="avalon_st_adapter_004">Inserting error_adapter: error_adapter_0</message>
  2553. <message level="Debug" culprit="avalon_st_adapter_004.clk_bridge_0">Timing: ELA:1/0.001s</message>
  2554. <message level="Debug" culprit="avalon_st_adapter_004.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  2555. <message level="Debug" culprit="avalon_st_adapter_004.error_adapter_0">Timing: ELA:1/0.007s</message>
  2556. <message level="Debug" culprit="avalon_st_adapter_004">Timing: COM:3/0.017s/0.022s</message>
  2557. <message level="Progress" culprit="min"></message>
  2558. <message level="Progress" culprit="max"></message>
  2559. <message level="Progress" culprit="current"></message>
  2560. <message level="Info" culprit="avalon_st_adapter_005">Inserting error_adapter: error_adapter_0</message>
  2561. <message level="Debug" culprit="avalon_st_adapter_005.clk_bridge_0">Timing: ELA:1/0.000s</message>
  2562. <message level="Debug" culprit="avalon_st_adapter_005.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  2563. <message level="Debug" culprit="avalon_st_adapter_005.error_adapter_0">Timing: ELA:1/0.007s</message>
  2564. <message level="Debug" culprit="avalon_st_adapter_005">Timing: COM:3/0.021s/0.028s</message>
  2565. <message level="Progress" culprit="min"></message>
  2566. <message level="Progress" culprit="max"></message>
  2567. <message level="Progress" culprit="current"></message>
  2568. <message level="Info" culprit="avalon_st_adapter_006">Inserting error_adapter: error_adapter_0</message>
  2569. <message level="Debug" culprit="avalon_st_adapter_006.clk_bridge_0">Timing: ELA:1/0.000s</message>
  2570. <message level="Debug" culprit="avalon_st_adapter_006.rst_bridge_0">Timing: ELA:2/0.000s/0.001s</message>
  2571. <message level="Debug" culprit="avalon_st_adapter_006.error_adapter_0">Timing: ELA:1/0.008s</message>
  2572. <message level="Debug" culprit="avalon_st_adapter_006">Timing: COM:3/0.017s/0.019s</message>
  2573. <message
  2574. level="Debug"
  2575. culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform"><![CDATA[After transform: <b>61</b> modules, <b>211</b> connections]]></message>
  2576. <message level="Debug">Transform: ResetAdaptation</message>
  2577. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  2578. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
  2579. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2580. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2581. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2582. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2583. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2584. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2585. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
  2586. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  2587. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
  2588. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2589. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2590. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2591. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2592. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2593. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2594. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2595. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2596. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2597. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2598. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2599. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2600. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
  2601. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
  2602. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  2603. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router</b>"]]></message>
  2604. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2605. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2606. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2607. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2608. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2609. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2610. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/nios2_uc_mm_interconnect_0_router_002</b>"]]></message>
  2611. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  2612. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_demux</b>"]]></message>
  2613. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2614. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2615. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2616. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2617. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2618. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2619. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_cmd_mux</b>"]]></message>
  2620. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2621. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2622. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2623. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2624. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2625. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2626. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_demux</b>"]]></message>
  2627. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  2628. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/nios2_uc_mm_interconnect_0_rsp_mux</b>"]]></message>
  2629. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2630. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2631. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2632. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2633. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2634. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2635. <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_st_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter</b>"]]></message>
  2636. <message level="Info" culprit="mm_interconnect_0"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_mm_interconnect</b> "<b>mm_interconnect_0</b>"]]></message>
  2637. <message level="Debug" culprit="nios2_uc">queue size: 58 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
  2638. <message level="Info" culprit="nios2_data_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_data_master_translator</b>"]]></message>
  2639. <message level="Debug" culprit="nios2_uc">queue size: 56 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
  2640. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>jtag_uart_avalon_jtag_slave_translator</b>"]]></message>
  2641. <message level="Debug" culprit="nios2_uc">queue size: 49 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
  2642. <message level="Info" culprit="nios2_data_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_data_master_agent</b>"]]></message>
  2643. <message level="Debug" culprit="nios2_uc">queue size: 47 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
  2644. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>jtag_uart_avalon_jtag_slave_agent</b>"]]></message>
  2645. <message level="Debug" culprit="nios2_uc">queue size: 46 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
  2646. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>jtag_uart_avalon_jtag_slave_agent_rsp_fifo</b>"]]></message>
  2647. <message level="Debug" culprit="nios2_uc">queue size: 33 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router"</message>
  2648. <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  2649. <message level="Debug" culprit="nios2_uc">queue size: 31 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router_002"</message>
  2650. <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  2651. <message level="Debug" culprit="nios2_uc">queue size: 24 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_demux"</message>
  2652. <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  2653. <message level="Debug" culprit="nios2_uc">queue size: 22 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_mux"</message>
  2654. <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  2655. <message level="Debug" culprit="nios2_uc">queue size: 15 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_demux"</message>
  2656. <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  2657. <message level="Debug" culprit="nios2_uc">queue size: 8 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_mux"</message>
  2658. <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
  2659. <message level="Info"><![CDATA[Reusing file <b>E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  2660. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_avalon_st_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter"</message>
  2661. <message level="Progress" culprit="min"></message>
  2662. <message level="Progress" culprit="max"></message>
  2663. <message level="Progress" culprit="current"></message>
  2664. <message level="Debug">Transform: CustomInstructionTransform</message>
  2665. <message level="Debug">No custom instruction connections, skipping transform </message>
  2666. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  2667. <message level="Debug">Transform: MMTransform</message>
  2668. <message level="Debug">Transform: InterruptMapperTransform</message>
  2669. <message level="Debug">Transform: InterruptSyncTransform</message>
  2670. <message level="Debug">Transform: InterruptFanoutTransform</message>
  2671. <message level="Debug">Transform: AvalonStreamingTransform</message>
  2672. <message level="Debug">Transform: ResetAdaptation</message>
  2673. <message level="Debug" culprit="avalon_st_adapter"><![CDATA["<b>avalon_st_adapter</b>" reuses <b>error_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0</b>"]]></message>
  2674. <message level="Info" culprit="avalon_st_adapter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_st_adapter</b> "<b>avalon_st_adapter</b>"]]></message>
  2675. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  2676. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  2677. </messages>
  2678. </entity>
  2679. <entity
  2680. path="submodules/"
  2681. parameterizationKey="altera_irq_mapper:18.1:AUTO_DEVICE_FAMILY=Cyclone IV E,IRQ_MAP=0:0,NUM_RCVRS=1,SENDER_IRQ_WIDTH=32"
  2682. instancePathKey="nios2_uc:.:irq_mapper"
  2683. kind="altera_irq_mapper"
  2684. version="18.1"
  2685. name="nios2_uc_irq_mapper">
  2686. <parameter name="NUM_RCVRS" value="1" />
  2687. <parameter name="IRQ_MAP" value="0:0" />
  2688. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  2689. <parameter name="SENDER_IRQ_WIDTH" value="32" />
  2690. <generatedFiles>
  2691. <file
  2692. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_irq_mapper.sv"
  2693. type="SYSTEM_VERILOG"
  2694. attributes="" />
  2695. </generatedFiles>
  2696. <childGeneratedFiles/>
  2697. <sourceFiles>
  2698. <file
  2699. path="E:/data/quartus/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
  2700. </sourceFiles>
  2701. <childSourceFiles/>
  2702. <instantiator instantiator="nios2_uc" as="irq_mapper" />
  2703. <messages>
  2704. <message level="Debug" culprit="nios2_uc">queue size: 61 starting:altera_irq_mapper "submodules/nios2_uc_irq_mapper"</message>
  2705. <message level="Info" culprit="irq_mapper"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_irq_mapper</b> "<b>irq_mapper</b>"]]></message>
  2706. </messages>
  2707. </entity>
  2708. <entity
  2709. path="submodules/"
  2710. parameterizationKey="altera_reset_controller:18.1:ADAPT_RESET_REQUEST=0,MIN_RST_ASSERTION_TIME=3,NUM_RESET_INPUTS=2,OUTPUT_RESET_SYNC_EDGES=deassert,RESET_REQUEST_PRESENT=1,RESET_REQ_EARLY_DSRT_TIME=1,RESET_REQ_WAIT_TIME=1,SYNC_DEPTH=2,USE_RESET_REQUEST_IN0=0,USE_RESET_REQUEST_IN1=0,USE_RESET_REQUEST_IN10=0,USE_RESET_REQUEST_IN11=0,USE_RESET_REQUEST_IN12=0,USE_RESET_REQUEST_IN13=0,USE_RESET_REQUEST_IN14=0,USE_RESET_REQUEST_IN15=0,USE_RESET_REQUEST_IN2=0,USE_RESET_REQUEST_IN3=0,USE_RESET_REQUEST_IN4=0,USE_RESET_REQUEST_IN5=0,USE_RESET_REQUEST_IN6=0,USE_RESET_REQUEST_IN7=0,USE_RESET_REQUEST_IN8=0,USE_RESET_REQUEST_IN9=0,USE_RESET_REQUEST_INPUT=0"
  2711. instancePathKey="nios2_uc:.:rst_controller"
  2712. kind="altera_reset_controller"
  2713. version="18.1"
  2714. name="altera_reset_controller">
  2715. <generatedFiles>
  2716. <file
  2717. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_reset_controller.v"
  2718. type="VERILOG"
  2719. attributes="" />
  2720. <file
  2721. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_reset_synchronizer.v"
  2722. type="VERILOG"
  2723. attributes="" />
  2724. <file
  2725. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_reset_controller.sdc"
  2726. type="SDC"
  2727. attributes="" />
  2728. </generatedFiles>
  2729. <childGeneratedFiles/>
  2730. <sourceFiles>
  2731. <file
  2732. path="E:/data/quartus/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
  2733. </sourceFiles>
  2734. <childSourceFiles/>
  2735. <instantiator instantiator="nios2_uc" as="rst_controller" />
  2736. <messages>
  2737. <message level="Debug" culprit="nios2_uc">queue size: 60 starting:altera_reset_controller "submodules/altera_reset_controller"</message>
  2738. <message level="Info" culprit="rst_controller"><![CDATA["<b>nios2_uc</b>" instantiated <b>altera_reset_controller</b> "<b>rst_controller</b>"]]></message>
  2739. </messages>
  2740. </entity>
  2741. <entity
  2742. path="submodules/"
  2743. parameterizationKey="altera_nios2_gen2_unit:18.1:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=None,breakSlave_derived=nios2.debug_mem_slave,cdx_enabled=false,clockFrequency=50000000,cpuArchRev=1,cpuID=0,cpuReset=false,cpu_name=cpu,customInstSlavesSystemInfo=&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;,dataAddrWidth=20,dataMasterHighPerformanceAddrWidth=1,dataMasterHighPerformanceMapParam=,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,data_master_high_performance_paddr_base=0,data_master_high_performance_paddr_top=0,data_master_paddr_base=0,data_master_paddr_top=0,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_datatrace=false,debug_datatrigger=0,debug_debugReqSignals=false,debug_enabled=true,debug_hwbreakpoint=0,debug_insttrace=false,debug_jtagInstanceID=0,debug_offchiptrace=false,debug_onchiptrace=false,debug_traceStorage=onchip_trace,debug_traceType=none,debug_triggerArming=true,deviceFamilyName=Cyclone IV E,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dividerType=no_div,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,faAddrWidth=1,faSlaveMapParam=,fa_cache_line=2,fa_cache_linesize=0,flash_instruction_master_paddr_base=0,flash_instruction_master_paddr_top=0,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Tiny,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;,instructionMasterHighPerformanceAddrWidth=1,instructionMasterHighPerformanceMapParam=,instruction_master_high_performance_paddr_base=0,instruction_master_high_performance_paddr_top=0,instruction_master_paddr_base=0,instruction_master_paddr_top=0,internalIrqMaskSystemInfo=1,io_regionbase=0,io_regionsize=0,master_addr_map=false,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,mpx_enabled=false,multiplierType=no_mul,ocimem_ramBlockType=Automatic,ocimem_ramInit=false,regfile_ramBlockType=Automatic,register_file_por=false,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=false,setting_allow_break_inst=false,setting_alwaysEncrypt=true,setting_asic_add_scan_mode_input=false,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_asic_third_party_synthesis=false,setting_avalonDebugPortPresent=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=false,setting_branchPredictionType=Dynamic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=true,setting_disableocitrace=false,setting_dtcm_ecc_present=true,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportdebuginfo=false,setting_exportvectors=false,setting_fast_register_read=false,setting_ic_ecc_present=true,setting_interruptControllerType=Internal,setting_ioregionBypassDCache=false,setting_itcm_ecc_present=true,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_oci_version=1,setting_preciseIllegalMemAccessException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,setting_support31bitdcachebypass=true,setting_usedesignware=false,shifterType=medium_le_shift,stratix_dspblock_shift_mul=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,tightly_coupled_data_master_0_paddr_base=0,tightly_coupled_data_master_0_paddr_top=0,tightly_coupled_data_master_1_paddr_base=0,tightly_coupled_data_master_1_paddr_top=0,tightly_coupled_data_master_2_paddr_base=0,tightly_coupled_data_master_2_paddr_top=0,tightly_coupled_data_master_3_paddr_base=0,tightly_coupled_data_master_3_paddr_top=0,tightly_coupled_instruction_master_0_paddr_base=0,tightly_coupled_instruction_master_0_paddr_top=0,tightly_coupled_instruction_master_1_paddr_base=0,tightly_coupled_instruction_master_1_paddr_top=0,tightly_coupled_instruction_master_2_paddr_base=0,tightly_coupled_instruction_master_2_paddr_top=0,tightly_coupled_instruction_master_3_paddr_base=0,tightly_coupled_instruction_master_3_paddr_top=0,tmr_enabled=false,tracefilename=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot; ,userDefinedSettings="
  2744. instancePathKey="nios2_uc:.:nios2:.:cpu"
  2745. kind="altera_nios2_gen2_unit"
  2746. version="18.1"
  2747. name="nios2_uc_nios2_cpu">
  2748. <parameter name="icache_burstType" value="None" />
  2749. <parameter name="setting_oci_version" value="1" />
  2750. <parameter name="mpx_enabled" value="false" />
  2751. <parameter name="ocimem_ramBlockType" value="Automatic" />
  2752. <parameter name="dcache_victim_buf_impl" value="ram" />
  2753. <parameter name="setting_exportPCB" value="false" />
  2754. <parameter name="setting_ic_ecc_present" value="true" />
  2755. <parameter name="dcache_size_derived" value="2048" />
  2756. <parameter name="mmu_udtlbNumEntries" value="6" />
  2757. <parameter name="tightly_coupled_instruction_master_3_paddr_top" value="0" />
  2758. <parameter
  2759. name="deviceFeaturesSystemInfo"
  2760. value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  2761. <parameter name="bht_ramBlockType" value="Automatic" />
  2762. <parameter name="instruction_master_high_performance_paddr_base" value="0" />
  2763. <parameter name="mmu_TLBMissExcSlave" value="None" />
  2764. <parameter name="impl" value="Tiny" />
  2765. <parameter name="regfile_ramBlockType" value="Automatic" />
  2766. <parameter name="dcache_size" value="2048" />
  2767. <parameter name="tightly_coupled_data_master_0_paddr_top" value="0" />
  2768. <parameter name="breakOffset" value="32" />
  2769. <parameter name="breakSlave" value="None" />
  2770. <parameter name="setting_branchPredictionType" value="Dynamic" />
  2771. <parameter name="exceptionOffset" value="32" />
  2772. <parameter name="flash_instruction_master_paddr_top" value="0" />
  2773. <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  2774. <parameter name="cpu_name" value="cpu" />
  2775. <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  2776. <parameter name="breakAbsoluteAddr" value="526368" />
  2777. <parameter name="setting_activateTrace" value="false" />
  2778. <parameter name="debug_offchiptrace" value="false" />
  2779. <parameter name="setting_avalonDebugPortPresent" value="false" />
  2780. <parameter name="dcache_numTCDM" value="0" />
  2781. <parameter name="setting_ecc_sim_test_ports" value="false" />
  2782. <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  2783. <parameter name="setting_showUnpublishedSettings" value="false" />
  2784. <parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
  2785. <parameter name="debug_debugReqSignals" value="false" />
  2786. <parameter name="master_addr_map" value="false" />
  2787. <parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
  2788. <parameter name="mmu_processIDNumBits" value="8" />
  2789. <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  2790. <parameter name="debug_onchiptrace" value="false" />
  2791. <parameter name="setting_rf_ecc_present" value="true" />
  2792. <parameter name="resetAbsoluteAddr" value="262144" />
  2793. <parameter name="tightly_coupled_data_master_1_paddr_top" value="0" />
  2794. <parameter name="ocimem_ramInit" value="false" />
  2795. <parameter name="internalIrqMaskSystemInfo" value="1" />
  2796. <parameter name="instruction_master_paddr_top" value="0" />
  2797. <parameter name="cpuArchRev" value="1" />
  2798. <parameter name="setting_dtcm_ecc_present" value="true" />
  2799. <parameter name="exceptionAbsoluteAddr" value="262176" />
  2800. <parameter name="setting_interruptControllerType" value="Internal" />
  2801. <parameter name="dcache_tagramBlockType" value="Automatic" />
  2802. <parameter name="debug_insttrace" value="false" />
  2803. <parameter name="icache_size" value="4096" />
  2804. <parameter name="setting_itcm_ecc_present" value="true" />
  2805. <parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
  2806. <parameter
  2807. name="dataSlaveMapParam"
  2808. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  2809. <parameter name="mpu_enabled" value="false" />
  2810. <parameter name="setting_ecc_present" value="false" />
  2811. <parameter name="mmu_TLBMissExcAbsAddr" value="0" />
  2812. <parameter name="mpu_useLimit" value="false" />
  2813. <parameter name="stratix_dspblock_shift_mul" value="false" />
  2814. <parameter name="icache_numTCIM" value="0" />
  2815. <parameter name="setting_usedesignware" value="false" />
  2816. <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  2817. <parameter name="instruction_master_high_performance_paddr_top" value="0" />
  2818. <parameter name="setting_ioregionBypassDCache" value="false" />
  2819. <parameter name="mmu_TLBMissExcOffset" value="0" />
  2820. <parameter name="mmu_enabled" value="false" />
  2821. <parameter name="mmu_uitlbNumEntries" value="4" />
  2822. <parameter name="register_file_por" value="false" />
  2823. <parameter name="faAddrWidth" value="1" />
  2824. <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  2825. <parameter name="tightly_coupled_data_master_3_paddr_top" value="0" />
  2826. <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  2827. <parameter name="setting_activateTestEndChecker" value="false" />
  2828. <parameter name="cpuID" value="0" />
  2829. <parameter name="resetrequest_enabled" value="true" />
  2830. <parameter name="setting_asic_enabled" value="false" />
  2831. <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  2832. <parameter name="setting_HDLSimCachesCleared" value="true" />
  2833. <parameter name="debug_triggerArming" value="true" />
  2834. <parameter name="debug_OCIOnchipTrace" value="_128" />
  2835. <parameter name="dataAddrWidth" value="20" />
  2836. <parameter name="setting_bit31BypassDCache" value="false" />
  2837. <parameter name="instAddrWidth" value="20" />
  2838. <parameter name="setting_asic_add_scan_mode_input" value="false" />
  2839. <parameter name="tightly_coupled_instruction_master_1_paddr_top" value="0" />
  2840. <parameter name="io_regionbase" value="0" />
  2841. <parameter name="setting_shadowRegisterSets" value="0" />
  2842. <parameter name="icache_ramBlockType" value="Automatic" />
  2843. <parameter name="data_master_paddr_top" value="0" />
  2844. <parameter name="translate_on" value=" &quot;synthesis translate_on&quot; " />
  2845. <parameter name="faSlaveMapParam" value="" />
  2846. <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  2847. <parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
  2848. <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  2849. <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  2850. <parameter name="fa_cache_line" value="2" />
  2851. <parameter name="debug_assignJtagInstanceID" value="false" />
  2852. <parameter name="instruction_master_paddr_base" value="0" />
  2853. <parameter name="userDefinedSettings" value="" />
  2854. <parameter name="clockFrequency" value="50000000" />
  2855. <parameter name="setting_activateMonitors" value="true" />
  2856. <parameter name="resetOffset" value="0" />
  2857. <parameter name="dcache_ramBlockType" value="Automatic" />
  2858. <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
  2859. <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  2860. <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  2861. <parameter name="tightly_coupled_instruction_master_0_paddr_top" value="0" />
  2862. <parameter name="setting_allow_break_inst" value="false" />
  2863. <parameter name="setting_asic_third_party_synthesis" value="false" />
  2864. <parameter name="io_regionsize" value="0" />
  2865. <parameter name="mpu_minInstRegionSize" value="12" />
  2866. <parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
  2867. <parameter name="translate_off" value=" &quot;synthesis translate_off&quot; " />
  2868. <parameter name="mpu_numOfInstRegion" value="8" />
  2869. <parameter name="flash_instruction_master_paddr_base" value="0" />
  2870. <parameter name="setting_exportdebuginfo" value="false" />
  2871. <parameter name="mmu_tlbPtrSz" value="7" />
  2872. <parameter name="cpuReset" value="false" />
  2873. <parameter name="resetSlave" value="onchip_memory2.s1" />
  2874. <parameter name="dcache_bursts_derived" value="false" />
  2875. <parameter name="multiplierType" value="no_mul" />
  2876. <parameter name="setting_removeRAMinit" value="false" />
  2877. <parameter name="icache_tagramBlockType" value="Automatic" />
  2878. <parameter name="debug_traceStorage" value="onchip_trace" />
  2879. <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  2880. <parameter name="fa_cache_linesize" value="0" />
  2881. <parameter name="setting_mmu_ecc_present" value="true" />
  2882. <parameter name="debug_datatrace" value="false" />
  2883. <parameter name="setting_HBreakTest" value="false" />
  2884. <parameter name="debug_hwbreakpoint" value="0" />
  2885. <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  2886. <parameter name="dataMasterHighPerformanceMapParam" value="" />
  2887. <parameter name="tightly_coupled_data_master_2_paddr_top" value="0" />
  2888. <parameter name="setting_disableocitrace" value="false" />
  2889. <parameter name="setting_bigEndian" value="false" />
  2890. <parameter name="mpu_minDataRegionSize" value="12" />
  2891. <parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
  2892. <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  2893. <parameter name="debug_jtagInstanceID" value="0" />
  2894. <parameter name="setting_showInternalSettings" value="false" />
  2895. <parameter name="setting_breakslaveoveride" value="false" />
  2896. <parameter name="debug_traceType" value="none" />
  2897. <parameter name="instructionMasterHighPerformanceMapParam" value="" />
  2898. <parameter name="tightly_coupled_instruction_master_2_paddr_top" value="0" />
  2899. <parameter name="setting_alwaysEncrypt" value="true" />
  2900. <parameter name="setting_oci_export_jtag_signals" value="false" />
  2901. <parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
  2902. <parameter name="data_master_high_performance_paddr_top" value="0" />
  2903. <parameter name="dcache_lineSize_derived" value="32" />
  2904. <parameter name="deviceFamilyName" value="Cyclone IV E" />
  2905. <parameter name="debug_datatrigger" value="0" />
  2906. <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  2907. <parameter name="debug_enabled" value="true" />
  2908. <parameter name="setting_export_large_RAMs" value="false" />
  2909. <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  2910. <parameter name="setting_dc_ecc_present" value="true" />
  2911. <parameter name="setting_support31bitdcachebypass" value="true" />
  2912. <parameter
  2913. name="instSlaveMapParam"
  2914. value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x72000&apos; type=&apos;altera_avalon_onchip_memory2.s1&apos; /&gt;&lt;slave name=&apos;nios2.debug_mem_slave&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; type=&apos;altera_nios2_gen2.debug_mem_slave&apos; /&gt;&lt;slave name=&apos;pio_BUTTON.s1&apos; start=&apos;0x81040&apos; end=&apos;0x81050&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_MATRIX.s1&apos; start=&apos;0x81050&apos; end=&apos;0x81060&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;pio_LED.s1&apos; start=&apos;0x81060&apos; end=&apos;0x81070&apos; type=&apos;altera_avalon_pio.s1&apos; /&gt;&lt;slave name=&apos;lcd_16207.control_slave&apos; start=&apos;0x81070&apos; end=&apos;0x81080&apos; type=&apos;altera_avalon_lcd_16207.control_slave&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81088&apos; end=&apos;0x81090&apos; type=&apos;altera_avalon_jtag_uart.avalon_jtag_slave&apos; /&gt;&lt;/address-map&gt;" />
  2915. <parameter name="dividerType" value="no_div" />
  2916. <parameter name="setting_bhtPtrSz" value="8" />
  2917. <parameter name="setting_exportvectors" value="false" />
  2918. <parameter name="tmr_enabled" value="false" />
  2919. <parameter name="data_master_paddr_base" value="0" />
  2920. <parameter name="breakSlave_derived" value="nios2.debug_mem_slave" />
  2921. <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  2922. <parameter name="mpu_numOfDataRegion" value="8" />
  2923. <parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
  2924. <parameter name="mmu_ramBlockType" value="Automatic" />
  2925. <parameter name="data_master_high_performance_paddr_base" value="0" />
  2926. <parameter name="cdx_enabled" value="false" />
  2927. <parameter
  2928. name="customInstSlavesSystemInfo"
  2929. value="&lt;info&gt;&lt;slave name=&quot;nios_custom_instr_floating_point_0&quot; baseAddress=&quot;252&quot; addressSpan=&quot;4&quot; clockCycleType=&quot;VARIABLE&quot; /&gt;&lt;/info&gt;" />
  2930. <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  2931. <parameter name="dcache_bursts" value="false" />
  2932. <parameter name="tracefilename" value="" />
  2933. <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
  2934. <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  2935. <parameter name="setting_fast_register_read" value="false" />
  2936. <parameter name="mmu_tlbNumWays" value="16" />
  2937. <parameter name="shifterType" value="medium_le_shift" />
  2938. <generatedFiles>
  2939. <file
  2940. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.sdc"
  2941. type="SDC"
  2942. attributes="" />
  2943. <file
  2944. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v"
  2945. type="VERILOG"
  2946. attributes="" />
  2947. <file
  2948. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_sysclk.v"
  2949. type="VERILOG"
  2950. attributes="" />
  2951. <file
  2952. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_tck.v"
  2953. type="VERILOG"
  2954. attributes="" />
  2955. <file
  2956. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_debug_slave_wrapper.v"
  2957. type="VERILOG"
  2958. attributes="" />
  2959. <file
  2960. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_ociram_default_contents.mif"
  2961. type="MIF"
  2962. attributes="" />
  2963. <file
  2964. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_a.mif"
  2965. type="MIF"
  2966. attributes="" />
  2967. <file
  2968. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_rf_ram_b.mif"
  2969. type="MIF"
  2970. attributes="" />
  2971. <file
  2972. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v"
  2973. type="VERILOG"
  2974. attributes="" />
  2975. </generatedFiles>
  2976. <childGeneratedFiles/>
  2977. <sourceFiles>
  2978. <file
  2979. path="E:/data/quartus/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" />
  2980. </sourceFiles>
  2981. <childSourceFiles/>
  2982. <instantiator instantiator="nios2_uc_nios2" as="cpu" />
  2983. <messages>
  2984. <message level="Debug" culprit="nios2_uc">queue size: 59 starting:altera_nios2_gen2_unit "submodules/nios2_uc_nios2_cpu"</message>
  2985. <message level="Info" culprit="cpu">Starting RTL generation for module 'nios2_uc_nios2_cpu'</message>
  2986. <message level="Info" culprit="cpu"> Generation command is [exec E:/Data/quartus/quartus/bin64//eperlcmd.exe -I E:/Data/quartus/quartus/bin64//perl/lib -I E:/data/quartus/quartus/sopc_builder/bin/europa -I E:/data/quartus/quartus/sopc_builder/bin/perl_lib -I E:/data/quartus/quartus/sopc_builder/bin -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- E:/data/quartus/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=nios2_uc_nios2_cpu --dir=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0013_cpu_gen/ --quartus_bindir=E:/Data/quartus/quartus/bin64/ --verilog --config=C:/Users/willy/AppData/Local/Temp/alt8604_3872216097306633162.dir/0013_cpu_gen//nios2_uc_nios2_cpu_processor_configuration.pl --do_build_sim=0 ]</message>
  2987. <message level="Info" culprit="cpu"># 2020.12.08 21:15:05 (*) Starting Nios II generation</message>
  2988. <message level="Info" culprit="cpu"># 2020.12.08 21:15:05 (*) Checking for plaintext license.</message>
  2989. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) Couldn't query license setup in Quartus directory E:/Data/quartus/quartus/bin64/</message>
  2990. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) Defaulting to contents of LM_LICENSE_FILE environment variable</message>
  2991. <message level="Info" culprit="cpu"># 2020.12.08 21:15:10 (*) LM_LICENSE_FILE environment variable is empty</message>
  2992. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Plaintext license not found.</message>
  2993. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) No license required to generate encrypted Nios II/e.</message>
  2994. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Elaborating CPU configuration settings</message>
  2995. <message level="Info" culprit="cpu"># 2020.12.08 21:15:11 (*) Creating all objects for CPU</message>
  2996. <message level="Info" culprit="cpu"># 2020.12.08 21:15:12 (*) Generating RTL from CPU objects</message>
  2997. <message level="Info" culprit="cpu"># 2020.12.08 21:15:12 (*) Creating plain-text RTL</message>
  2998. <message level="Info" culprit="cpu"># 2020.12.08 21:15:13 (*) Done Nios II generation</message>
  2999. <message level="Info" culprit="cpu">Done RTL generation for module 'nios2_uc_nios2_cpu'</message>
  3000. <message level="Info" culprit="cpu"><![CDATA["<b>nios2</b>" instantiated <b>altera_nios2_gen2_unit</b> "<b>cpu</b>"]]></message>
  3001. </messages>
  3002. </entity>
  3003. <entity
  3004. path="submodules/"
  3005. parameterizationKey="altera_merlin_master_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,SYNC_RESET=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEDATA=1,USE_WRITERESPONSE=0"
  3006. instancePathKey="nios2_uc:.:mm_interconnect_0:.:nios2_data_master_translator"
  3007. kind="altera_merlin_master_translator"
  3008. version="18.1"
  3009. name="altera_merlin_master_translator">
  3010. <parameter name="SYNC_RESET" value="0" />
  3011. <generatedFiles>
  3012. <file
  3013. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv"
  3014. type="SYSTEM_VERILOG"
  3015. attributes="" />
  3016. </generatedFiles>
  3017. <childGeneratedFiles/>
  3018. <sourceFiles>
  3019. <file
  3020. path="E:/data/quartus/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  3021. </sourceFiles>
  3022. <childSourceFiles/>
  3023. <instantiator
  3024. instantiator="nios2_uc_mm_interconnect_0"
  3025. as="nios2_data_master_translator,nios2_instruction_master_translator" />
  3026. <messages>
  3027. <message level="Debug" culprit="nios2_uc">queue size: 58 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
  3028. <message level="Info" culprit="nios2_data_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_data_master_translator</b>"]]></message>
  3029. </messages>
  3030. </entity>
  3031. <entity
  3032. path="submodules/"
  3033. parameterizationKey="altera_merlin_slave_translator:18.1:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=50000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0"
  3034. instancePathKey="nios2_uc:.:mm_interconnect_0:.:jtag_uart_avalon_jtag_slave_translator"
  3035. kind="altera_merlin_slave_translator"
  3036. version="18.1"
  3037. name="altera_merlin_slave_translator">
  3038. <generatedFiles>
  3039. <file
  3040. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv"
  3041. type="SYSTEM_VERILOG"
  3042. attributes="" />
  3043. </generatedFiles>
  3044. <childGeneratedFiles/>
  3045. <sourceFiles>
  3046. <file
  3047. path="E:/data/quartus/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  3048. </sourceFiles>
  3049. <childSourceFiles/>
  3050. <instantiator
  3051. instantiator="nios2_uc_mm_interconnect_0"
  3052. as="jtag_uart_avalon_jtag_slave_translator,lcd_16207_control_slave_translator,nios2_debug_mem_slave_translator,onchip_memory2_s1_translator,pio_LED_s1_translator,pio_MATRIX_s1_translator,pio_BUTTON_s1_translator" />
  3053. <messages>
  3054. <message level="Debug" culprit="nios2_uc">queue size: 56 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
  3055. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>jtag_uart_avalon_jtag_slave_translator</b>"]]></message>
  3056. </messages>
  3057. </entity>
  3058. <entity
  3059. path="submodules/"
  3060. parameterizationKey="altera_merlin_master_agent:18.1:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
  3061. &lt;address_map&gt;
  3062. &lt;slave
  3063. id=&quot;0&quot;
  3064. name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
  3065. start=&quot;0x0000000000081088&quot;
  3066. end=&quot;0x00000000000081090&quot;
  3067. responds=&quot;1&quot;
  3068. user_default=&quot;0&quot; /&gt;
  3069. &lt;slave
  3070. id=&quot;1&quot;
  3071. name=&quot;lcd_16207_control_slave_translator.avalon_universal_slave_0&quot;
  3072. start=&quot;0x0000000000081070&quot;
  3073. end=&quot;0x00000000000081080&quot;
  3074. responds=&quot;1&quot;
  3075. user_default=&quot;0&quot; /&gt;
  3076. &lt;slave
  3077. id=&quot;2&quot;
  3078. name=&quot;nios2_debug_mem_slave_translator.avalon_universal_slave_0&quot;
  3079. start=&quot;0x0000000000080800&quot;
  3080. end=&quot;0x00000000000081000&quot;
  3081. responds=&quot;1&quot;
  3082. user_default=&quot;0&quot; /&gt;
  3083. &lt;slave
  3084. id=&quot;3&quot;
  3085. name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
  3086. start=&quot;0x0000000000040000&quot;
  3087. end=&quot;0x00000000000080000&quot;
  3088. responds=&quot;1&quot;
  3089. user_default=&quot;0&quot; /&gt;
  3090. &lt;slave
  3091. id=&quot;5&quot;
  3092. name=&quot;pio_LED_s1_translator.avalon_universal_slave_0&quot;
  3093. start=&quot;0x0000000000081060&quot;
  3094. end=&quot;0x00000000000081070&quot;
  3095. responds=&quot;1&quot;
  3096. user_default=&quot;0&quot; /&gt;
  3097. &lt;slave
  3098. id=&quot;6&quot;
  3099. name=&quot;pio_MATRIX_s1_translator.avalon_universal_slave_0&quot;
  3100. start=&quot;0x0000000000081050&quot;
  3101. end=&quot;0x00000000000081060&quot;
  3102. responds=&quot;1&quot;
  3103. user_default=&quot;0&quot; /&gt;
  3104. &lt;slave
  3105. id=&quot;4&quot;
  3106. name=&quot;pio_BUTTON_s1_translator.avalon_universal_slave_0&quot;
  3107. start=&quot;0x0000000000081040&quot;
  3108. end=&quot;0x00000000000081050&quot;
  3109. responds=&quot;1&quot;
  3110. user_default=&quot;0&quot; /&gt;
  3111. &lt;/address_map&gt;
  3112. ,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
  3113. instancePathKey="nios2_uc:.:mm_interconnect_0:.:nios2_data_master_agent"
  3114. kind="altera_merlin_master_agent"
  3115. version="18.1"
  3116. name="altera_merlin_master_agent">
  3117. <generatedFiles>
  3118. <file
  3119. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv"
  3120. type="SYSTEM_VERILOG"
  3121. attributes="" />
  3122. </generatedFiles>
  3123. <childGeneratedFiles/>
  3124. <sourceFiles>
  3125. <file
  3126. path="E:/data/quartus/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  3127. </sourceFiles>
  3128. <childSourceFiles/>
  3129. <instantiator
  3130. instantiator="nios2_uc_mm_interconnect_0"
  3131. as="nios2_data_master_agent,nios2_instruction_master_agent" />
  3132. <messages>
  3133. <message level="Debug" culprit="nios2_uc">queue size: 49 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
  3134. <message level="Info" culprit="nios2_data_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_data_master_agent</b>"]]></message>
  3135. </messages>
  3136. </entity>
  3137. <entity
  3138. path="submodules/"
  3139. parameterizationKey="altera_merlin_slave_agent:18.1:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ECC_ENABLE=0,ID=0,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=7,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
  3140. instancePathKey="nios2_uc:.:mm_interconnect_0:.:jtag_uart_avalon_jtag_slave_agent"
  3141. kind="altera_merlin_slave_agent"
  3142. version="18.1"
  3143. name="altera_merlin_slave_agent">
  3144. <generatedFiles>
  3145. <file
  3146. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv"
  3147. type="SYSTEM_VERILOG"
  3148. attributes="" />
  3149. <file
  3150. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
  3151. type="SYSTEM_VERILOG"
  3152. attributes="" />
  3153. </generatedFiles>
  3154. <childGeneratedFiles/>
  3155. <sourceFiles>
  3156. <file
  3157. path="E:/data/quartus/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  3158. </sourceFiles>
  3159. <childSourceFiles/>
  3160. <instantiator
  3161. instantiator="nios2_uc_mm_interconnect_0"
  3162. as="jtag_uart_avalon_jtag_slave_agent,lcd_16207_control_slave_agent,nios2_debug_mem_slave_agent,onchip_memory2_s1_agent,pio_LED_s1_agent,pio_MATRIX_s1_agent,pio_BUTTON_s1_agent" />
  3163. <messages>
  3164. <message level="Debug" culprit="nios2_uc">queue size: 47 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
  3165. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>jtag_uart_avalon_jtag_slave_agent</b>"]]></message>
  3166. </messages>
  3167. </entity>
  3168. <entity
  3169. path="submodules/"
  3170. parameterizationKey="altera_avalon_sc_fifo:18.1:BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0"
  3171. instancePathKey="nios2_uc:.:mm_interconnect_0:.:jtag_uart_avalon_jtag_slave_agent_rsp_fifo"
  3172. kind="altera_avalon_sc_fifo"
  3173. version="18.1"
  3174. name="altera_avalon_sc_fifo">
  3175. <parameter name="EXPLICIT_MAXCHANNEL" value="0" />
  3176. <parameter name="ENABLE_EXPLICIT_MAXCHANNEL" value="false" />
  3177. <generatedFiles>
  3178. <file
  3179. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_avalon_sc_fifo.v"
  3180. type="VERILOG"
  3181. attributes="" />
  3182. </generatedFiles>
  3183. <childGeneratedFiles/>
  3184. <sourceFiles>
  3185. <file
  3186. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
  3187. <file
  3188. path="E:/data/quartus/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  3189. </sourceFiles>
  3190. <childSourceFiles/>
  3191. <instantiator
  3192. instantiator="nios2_uc_mm_interconnect_0"
  3193. as="jtag_uart_avalon_jtag_slave_agent_rsp_fifo,lcd_16207_control_slave_agent_rsp_fifo,nios2_debug_mem_slave_agent_rsp_fifo,onchip_memory2_s1_agent_rsp_fifo,pio_LED_s1_agent_rsp_fifo,pio_MATRIX_s1_agent_rsp_fifo,pio_BUTTON_s1_agent_rsp_fifo" />
  3194. <messages>
  3195. <message level="Debug" culprit="nios2_uc">queue size: 46 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
  3196. <message level="Info" culprit="jtag_uart_avalon_jtag_slave_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>jtag_uart_avalon_jtag_slave_agent_rsp_fifo</b>"]]></message>
  3197. </messages>
  3198. </entity>
  3199. <entity
  3200. path="submodules/"
  3201. parameterizationKey="altera_merlin_router:18.1:CHANNEL_ID=0001000,0000100,1000000,0100000,0010000,0000010,0000001,DECODER_TYPE=0,DEFAULT_CHANNEL=3,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,4,6,5,1,0,END_ADDRESS=0x80000,0x81000,0x81050,0x81060,0x81070,0x81080,0x81090,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,0,SLAVES_INFO=3:0001000:0x40000:0x80000:both:1:0:0:1,2:0000100:0x80800:0x81000:both:1:0:0:1,4:1000000:0x81040:0x81050:read:1:0:0:1,6:0100000:0x81050:0x81060:both:1:0:0:1,5:0010000:0x81060:0x81070:both:1:0:0:1,1:0000010:0x81070:0x81080:both:1:0:0:1,0:0000001:0x81088:0x81090:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,0x81040,0x81050,0x81060,0x81070,0x81088,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,read,both,both,both,both"
  3202. instancePathKey="nios2_uc:.:mm_interconnect_0:.:router"
  3203. kind="altera_merlin_router"
  3204. version="18.1"
  3205. name="nios2_uc_mm_interconnect_0_router">
  3206. <parameter name="ST_CHANNEL_W" value="7" />
  3207. <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  3208. <parameter name="PKT_TRANS_READ" value="59" />
  3209. <parameter
  3210. name="START_ADDRESS"
  3211. value="0x40000,0x80800,0x81040,0x81050,0x81060,0x81070,0x81088" />
  3212. <parameter name="DEFAULT_CHANNEL" value="3" />
  3213. <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  3214. <parameter
  3215. name="SLAVES_INFO"
  3216. value="3:0001000:0x40000:0x80000:both:1:0:0:1,2:0000100:0x80800:0x81000:both:1:0:0:1,4:1000000:0x81040:0x81050:read:1:0:0:1,6:0100000:0x81050:0x81060:both:1:0:0:1,5:0010000:0x81060:0x81070:both:1:0:0:1,1:0000010:0x81070:0x81080:both:1:0:0:1,0:0000001:0x81088:0x81090:both:1:0:0:1" />
  3217. <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  3218. <parameter name="PKT_ADDR_H" value="55" />
  3219. <parameter name="PKT_DEST_ID_H" value="82" />
  3220. <parameter name="PKT_ADDR_L" value="36" />
  3221. <parameter name="PKT_DEST_ID_L" value="80" />
  3222. <parameter
  3223. name="MERLIN_PACKET_FORMAT"
  3224. value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  3225. <parameter
  3226. name="CHANNEL_ID"
  3227. value="0001000,0000100,1000000,0100000,0010000,0000010,0000001" />
  3228. <parameter name="TYPE_OF_TRANSACTION" value="both,both,read,both,both,both,both" />
  3229. <parameter name="SECURED_RANGE_PAIRS" value="0,0,0,0,0,0,0" />
  3230. <parameter name="SPAN_OFFSET" value="" />
  3231. <parameter name="ST_DATA_W" value="96" />
  3232. <parameter name="SECURED_RANGE_LIST" value="0,0,0,0,0,0,0" />
  3233. <parameter name="DECODER_TYPE" value="0" />
  3234. <parameter name="PKT_PROTECTION_H" value="86" />
  3235. <parameter
  3236. name="END_ADDRESS"
  3237. value="0x80000,0x81000,0x81050,0x81060,0x81070,0x81080,0x81090" />
  3238. <parameter name="PKT_PROTECTION_L" value="84" />
  3239. <parameter name="PKT_TRANS_WRITE" value="58" />
  3240. <parameter name="DEFAULT_DESTID" value="3" />
  3241. <parameter name="DESTINATION_ID" value="3,2,4,6,5,1,0" />
  3242. <parameter name="NON_SECURED_TAG" value="1,1,1,1,1,1,1" />
  3243. <generatedFiles>
  3244. <file
  3245. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv"
  3246. type="SYSTEM_VERILOG"
  3247. attributes="" />
  3248. </generatedFiles>
  3249. <childGeneratedFiles/>
  3250. <sourceFiles>
  3251. <file
  3252. path="E:/data/quartus/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  3253. </sourceFiles>
  3254. <childSourceFiles/>
  3255. <instantiator instantiator="nios2_uc_mm_interconnect_0" as="router,router_001" />
  3256. <messages>
  3257. <message level="Debug" culprit="nios2_uc">queue size: 33 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router"</message>
  3258. <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  3259. </messages>
  3260. </entity>
  3261. <entity
  3262. path="submodules/"
  3263. parameterizationKey="altera_merlin_router:18.1:CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,1,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=7,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,read"
  3264. instancePathKey="nios2_uc:.:mm_interconnect_0:.:router_002"
  3265. kind="altera_merlin_router"
  3266. version="18.1"
  3267. name="nios2_uc_mm_interconnect_0_router_002">
  3268. <parameter name="ST_CHANNEL_W" value="7" />
  3269. <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  3270. <parameter name="PKT_TRANS_READ" value="59" />
  3271. <parameter name="START_ADDRESS" value="0x0,0x0" />
  3272. <parameter name="DEFAULT_CHANNEL" value="0" />
  3273. <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  3274. <parameter
  3275. name="SLAVES_INFO"
  3276. value="0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1" />
  3277. <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  3278. <parameter name="PKT_ADDR_H" value="55" />
  3279. <parameter name="PKT_DEST_ID_H" value="82" />
  3280. <parameter name="PKT_ADDR_L" value="36" />
  3281. <parameter name="PKT_DEST_ID_L" value="80" />
  3282. <parameter
  3283. name="MERLIN_PACKET_FORMAT"
  3284. value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  3285. <parameter name="CHANNEL_ID" value="01,10" />
  3286. <parameter name="TYPE_OF_TRANSACTION" value="both,read" />
  3287. <parameter name="SECURED_RANGE_PAIRS" value="0,0" />
  3288. <parameter name="SPAN_OFFSET" value="" />
  3289. <parameter name="ST_DATA_W" value="96" />
  3290. <parameter name="SECURED_RANGE_LIST" value="0,0" />
  3291. <parameter name="DECODER_TYPE" value="1" />
  3292. <parameter name="PKT_PROTECTION_H" value="86" />
  3293. <parameter name="END_ADDRESS" value="0x0,0x0" />
  3294. <parameter name="PKT_PROTECTION_L" value="84" />
  3295. <parameter name="PKT_TRANS_WRITE" value="58" />
  3296. <parameter name="DEFAULT_DESTID" value="0" />
  3297. <parameter name="DESTINATION_ID" value="0,1" />
  3298. <parameter name="NON_SECURED_TAG" value="1,1" />
  3299. <generatedFiles>
  3300. <file
  3301. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv"
  3302. type="SYSTEM_VERILOG"
  3303. attributes="" />
  3304. </generatedFiles>
  3305. <childGeneratedFiles/>
  3306. <sourceFiles>
  3307. <file
  3308. path="E:/data/quartus/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  3309. </sourceFiles>
  3310. <childSourceFiles/>
  3311. <instantiator
  3312. instantiator="nios2_uc_mm_interconnect_0"
  3313. as="router_002,router_003,router_004,router_005,router_006,router_007,router_008" />
  3314. <messages>
  3315. <message level="Debug" culprit="nios2_uc">queue size: 31 starting:altera_merlin_router "submodules/nios2_uc_mm_interconnect_0_router_002"</message>
  3316. <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  3317. </messages>
  3318. </entity>
  3319. <entity
  3320. path="submodules/"
  3321. parameterizationKey="altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=7,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1"
  3322. instancePathKey="nios2_uc:.:mm_interconnect_0:.:cmd_demux"
  3323. kind="altera_merlin_demultiplexer"
  3324. version="18.1"
  3325. name="nios2_uc_mm_interconnect_0_cmd_demux">
  3326. <parameter
  3327. name="MERLIN_PACKET_FORMAT"
  3328. value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  3329. <parameter name="ST_CHANNEL_W" value="7" />
  3330. <parameter name="AUTO_CLK_CLOCK_RATE" value="50000000" />
  3331. <parameter name="VALID_WIDTH" value="1" />
  3332. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  3333. <parameter name="ST_DATA_W" value="96" />
  3334. <parameter name="NUM_OUTPUTS" value="7" />
  3335. <generatedFiles>
  3336. <file
  3337. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv"
  3338. type="SYSTEM_VERILOG"
  3339. attributes="" />
  3340. </generatedFiles>
  3341. <childGeneratedFiles/>
  3342. <sourceFiles>
  3343. <file
  3344. path="E:/data/quartus/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  3345. </sourceFiles>
  3346. <childSourceFiles/>
  3347. <instantiator
  3348. instantiator="nios2_uc_mm_interconnect_0"
  3349. as="cmd_demux,cmd_demux_001" />
  3350. <messages>
  3351. <message level="Debug" culprit="nios2_uc">queue size: 24 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_demux"</message>
  3352. <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  3353. </messages>
  3354. </entity>
  3355. <entity
  3356. path="submodules/"
  3357. parameterizationKey="altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
  3358. instancePathKey="nios2_uc:.:mm_interconnect_0:.:cmd_mux"
  3359. kind="altera_merlin_multiplexer"
  3360. version="18.1"
  3361. name="nios2_uc_mm_interconnect_0_cmd_mux">
  3362. <parameter
  3363. name="MERLIN_PACKET_FORMAT"
  3364. value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  3365. <parameter name="ST_CHANNEL_W" value="7" />
  3366. <parameter name="ARBITRATION_SHARES" value="1,1" />
  3367. <parameter name="NUM_INPUTS" value="2" />
  3368. <parameter name="PIPELINE_ARB" value="1" />
  3369. <parameter name="ARBITRATION_SCHEME" value="round-robin" />
  3370. <parameter name="ST_DATA_W" value="96" />
  3371. <parameter name="USE_EXTERNAL_ARB" value="0" />
  3372. <parameter name="PKT_TRANS_LOCK" value="60" />
  3373. <generatedFiles>
  3374. <file
  3375. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv"
  3376. type="SYSTEM_VERILOG"
  3377. attributes="" />
  3378. <file
  3379. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  3380. type="SYSTEM_VERILOG"
  3381. attributes="" />
  3382. </generatedFiles>
  3383. <childGeneratedFiles/>
  3384. <sourceFiles>
  3385. <file
  3386. path="E:/data/quartus/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  3387. </sourceFiles>
  3388. <childSourceFiles/>
  3389. <instantiator
  3390. instantiator="nios2_uc_mm_interconnect_0"
  3391. as="cmd_mux,cmd_mux_001,cmd_mux_002,cmd_mux_003,cmd_mux_004,cmd_mux_005,cmd_mux_006" />
  3392. <messages>
  3393. <message level="Debug" culprit="nios2_uc">queue size: 22 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_cmd_mux"</message>
  3394. <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  3395. </messages>
  3396. </entity>
  3397. <entity
  3398. path="submodules/"
  3399. parameterizationKey="altera_merlin_demultiplexer:18.1:AUTO_CLK_CLOCK_RATE=50000000,AUTO_DEVICE_FAMILY=Cyclone IV E,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=7,ST_DATA_W=96,VALID_WIDTH=1"
  3400. instancePathKey="nios2_uc:.:mm_interconnect_0:.:rsp_demux"
  3401. kind="altera_merlin_demultiplexer"
  3402. version="18.1"
  3403. name="nios2_uc_mm_interconnect_0_rsp_demux">
  3404. <parameter
  3405. name="MERLIN_PACKET_FORMAT"
  3406. value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  3407. <parameter name="ST_CHANNEL_W" value="7" />
  3408. <parameter name="AUTO_CLK_CLOCK_RATE" value="50000000" />
  3409. <parameter name="VALID_WIDTH" value="1" />
  3410. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  3411. <parameter name="ST_DATA_W" value="96" />
  3412. <parameter name="NUM_OUTPUTS" value="2" />
  3413. <generatedFiles>
  3414. <file
  3415. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv"
  3416. type="SYSTEM_VERILOG"
  3417. attributes="" />
  3418. </generatedFiles>
  3419. <childGeneratedFiles/>
  3420. <sourceFiles>
  3421. <file
  3422. path="E:/data/quartus/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  3423. </sourceFiles>
  3424. <childSourceFiles/>
  3425. <instantiator
  3426. instantiator="nios2_uc_mm_interconnect_0"
  3427. as="rsp_demux,rsp_demux_001,rsp_demux_002,rsp_demux_003,rsp_demux_004,rsp_demux_005,rsp_demux_006" />
  3428. <messages>
  3429. <message level="Debug" culprit="nios2_uc">queue size: 15 starting:altera_merlin_demultiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_demux"</message>
  3430. <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  3431. </messages>
  3432. </entity>
  3433. <entity
  3434. path="submodules/"
  3435. parameterizationKey="altera_merlin_multiplexer:18.1:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=7,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=7,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
  3436. instancePathKey="nios2_uc:.:mm_interconnect_0:.:rsp_mux"
  3437. kind="altera_merlin_multiplexer"
  3438. version="18.1"
  3439. name="nios2_uc_mm_interconnect_0_rsp_mux">
  3440. <parameter
  3441. name="MERLIN_PACKET_FORMAT"
  3442. value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  3443. <parameter name="ST_CHANNEL_W" value="7" />
  3444. <parameter name="ARBITRATION_SHARES" value="1,1,1,1,1,1,1" />
  3445. <parameter name="NUM_INPUTS" value="7" />
  3446. <parameter name="PIPELINE_ARB" value="0" />
  3447. <parameter name="ARBITRATION_SCHEME" value="no-arb" />
  3448. <parameter name="ST_DATA_W" value="96" />
  3449. <parameter name="USE_EXTERNAL_ARB" value="0" />
  3450. <parameter name="PKT_TRANS_LOCK" value="60" />
  3451. <generatedFiles>
  3452. <file
  3453. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv"
  3454. type="SYSTEM_VERILOG"
  3455. attributes="" />
  3456. <file
  3457. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv"
  3458. type="SYSTEM_VERILOG"
  3459. attributes="" />
  3460. </generatedFiles>
  3461. <childGeneratedFiles/>
  3462. <sourceFiles>
  3463. <file
  3464. path="E:/data/quartus/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
  3465. </sourceFiles>
  3466. <childSourceFiles/>
  3467. <instantiator instantiator="nios2_uc_mm_interconnect_0" as="rsp_mux,rsp_mux_001" />
  3468. <messages>
  3469. <message level="Debug" culprit="nios2_uc">queue size: 8 starting:altera_merlin_multiplexer "submodules/nios2_uc_mm_interconnect_0_rsp_mux"</message>
  3470. <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
  3471. <message level="Info"><![CDATA[Reusing file <b>E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  3472. </messages>
  3473. </entity>
  3474. <entity
  3475. path="submodules/"
  3476. parameterizationKey="altera_avalon_st_adapter:18.1:AUTO_DEVICE=EP4CE115F29C7,AUTO_DEVICE_FAMILY=Cyclone IV E,AUTO_DEVICE_SPEEDGRADE=,inBitsPerSymbol=34,inChannelWidth=0,inDataWidth=34,inEmptyWidth=1,inErrorDescriptor=,inErrorWidth=0,inMaxChannel=0,inReadyLatency=0,inUseEmptyPort=0,inUsePackets=0,inUseReady=1,inUseValid=1,outChannelWidth=0,outDataWidth=34,outEmptyWidth=1,outErrorDescriptor=,outErrorWidth=1,outMaxChannel=0,outReadyLatency=0,outUseEmptyPort=0,outUseReady=1,outUseValid=1(altera_clock_bridge:18.1:DERIVED_CLOCK_RATE=0,EXPLICIT_CLOCK_RATE=0,NUM_CLOCK_OUTPUTS=1)(altera_reset_bridge:18.1:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=0,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert,USE_RESET_REQUEST=0)(error_adapter:18.1:inBitsPerSymbol=34,inChannelWidth=0,inErrorDescriptor=,inErrorWidth=0,inMaxChannel=0,inReadyLatency=0,inSymbolsPerBeat=1,inUseEmpty=false,inUseEmptyPort=NO,inUsePackets=false,inUseReady=true,outErrorDescriptor=,outErrorWidth=1)(clock:18.1:)(clock:18.1:)(reset:18.1:)"
  3477. instancePathKey="nios2_uc:.:mm_interconnect_0:.:avalon_st_adapter"
  3478. kind="altera_avalon_st_adapter"
  3479. version="18.1"
  3480. name="nios2_uc_mm_interconnect_0_avalon_st_adapter">
  3481. <parameter name="inUseValid" value="1" />
  3482. <parameter name="inBitsPerSymbol" value="34" />
  3483. <parameter name="outUseEmptyPort" value="0" />
  3484. <parameter name="inChannelWidth" value="0" />
  3485. <parameter name="outErrorWidth" value="1" />
  3486. <parameter name="outUseValid" value="1" />
  3487. <parameter name="outMaxChannel" value="0" />
  3488. <parameter name="inErrorDescriptor" value="" />
  3489. <parameter name="inUsePackets" value="0" />
  3490. <parameter name="inErrorWidth" value="0" />
  3491. <parameter name="inEmptyWidth" value="1" />
  3492. <parameter name="inUseReady" value="1" />
  3493. <parameter name="outReadyLatency" value="0" />
  3494. <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone IV E" />
  3495. <parameter name="outDataWidth" value="34" />
  3496. <parameter name="AUTO_DEVICE_SPEEDGRADE" value="" />
  3497. <parameter name="inUseEmptyPort" value="0" />
  3498. <parameter name="outChannelWidth" value="0" />
  3499. <parameter name="inMaxChannel" value="0" />
  3500. <parameter name="outUseReady" value="1" />
  3501. <parameter name="inReadyLatency" value="0" />
  3502. <parameter name="AUTO_DEVICE" value="EP4CE115F29C7" />
  3503. <parameter name="inDataWidth" value="34" />
  3504. <parameter name="outErrorDescriptor" value="" />
  3505. <parameter name="outEmptyWidth" value="1" />
  3506. <generatedFiles>
  3507. <file
  3508. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v"
  3509. type="VERILOG" />
  3510. </generatedFiles>
  3511. <childGeneratedFiles>
  3512. <file
  3513. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  3514. type="SYSTEM_VERILOG"
  3515. attributes="" />
  3516. </childGeneratedFiles>
  3517. <sourceFiles>
  3518. <file
  3519. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" />
  3520. </sourceFiles>
  3521. <childSourceFiles>
  3522. <file
  3523. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  3524. </childSourceFiles>
  3525. <instantiator
  3526. instantiator="nios2_uc_mm_interconnect_0"
  3527. as="avalon_st_adapter,avalon_st_adapter_001,avalon_st_adapter_002,avalon_st_adapter_003,avalon_st_adapter_004,avalon_st_adapter_005,avalon_st_adapter_006" />
  3528. <messages>
  3529. <message level="Debug" culprit="nios2_uc">queue size: 6 starting:altera_avalon_st_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter"</message>
  3530. <message level="Progress" culprit="min"></message>
  3531. <message level="Progress" culprit="max"></message>
  3532. <message level="Progress" culprit="current"></message>
  3533. <message level="Debug">Transform: CustomInstructionTransform</message>
  3534. <message level="Debug">No custom instruction connections, skipping transform </message>
  3535. <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>3</b> modules, <b>3</b> connections]]></message>
  3536. <message level="Debug">Transform: MMTransform</message>
  3537. <message level="Debug">Transform: InterruptMapperTransform</message>
  3538. <message level="Debug">Transform: InterruptSyncTransform</message>
  3539. <message level="Debug">Transform: InterruptFanoutTransform</message>
  3540. <message level="Debug">Transform: AvalonStreamingTransform</message>
  3541. <message level="Debug">Transform: ResetAdaptation</message>
  3542. <message level="Debug" culprit="avalon_st_adapter"><![CDATA["<b>avalon_st_adapter</b>" reuses <b>error_adapter</b> "<b>submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0</b>"]]></message>
  3543. <message level="Info" culprit="avalon_st_adapter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_st_adapter</b> "<b>avalon_st_adapter</b>"]]></message>
  3544. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  3545. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  3546. </messages>
  3547. </entity>
  3548. <entity
  3549. path="submodules/"
  3550. parameterizationKey="error_adapter:18.1:inBitsPerSymbol=34,inChannelWidth=0,inErrorDescriptor=,inErrorWidth=0,inMaxChannel=0,inReadyLatency=0,inSymbolsPerBeat=1,inUseEmpty=false,inUseEmptyPort=NO,inUsePackets=false,inUseReady=true,outErrorDescriptor=,outErrorWidth=1"
  3551. instancePathKey="nios2_uc:.:mm_interconnect_0:.:avalon_st_adapter:.:error_adapter_0"
  3552. kind="error_adapter"
  3553. version="18.1"
  3554. name="nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0">
  3555. <parameter name="inErrorWidth" value="0" />
  3556. <parameter name="inUseReady" value="true" />
  3557. <parameter name="inBitsPerSymbol" value="34" />
  3558. <parameter name="inChannelWidth" value="0" />
  3559. <parameter name="inSymbolsPerBeat" value="1" />
  3560. <parameter name="inUseEmptyPort" value="NO" />
  3561. <parameter name="outErrorWidth" value="1" />
  3562. <parameter name="inMaxChannel" value="0" />
  3563. <parameter name="inReadyLatency" value="0" />
  3564. <parameter name="outErrorDescriptor" value="" />
  3565. <parameter name="inUseEmpty" value="false" />
  3566. <parameter name="inErrorDescriptor" value="" />
  3567. <parameter name="inUsePackets" value="false" />
  3568. <generatedFiles>
  3569. <file
  3570. path="E:/Data/quartus/pong_20201203/nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"
  3571. type="SYSTEM_VERILOG"
  3572. attributes="" />
  3573. </generatedFiles>
  3574. <childGeneratedFiles/>
  3575. <sourceFiles>
  3576. <file
  3577. path="E:/data/quartus/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" />
  3578. </sourceFiles>
  3579. <childSourceFiles/>
  3580. <instantiator
  3581. instantiator="nios2_uc_mm_interconnect_0_avalon_st_adapter"
  3582. as="error_adapter_0" />
  3583. <messages>
  3584. <message level="Debug" culprit="nios2_uc">queue size: 0 starting:error_adapter "submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0"</message>
  3585. <message level="Info" culprit="error_adapter_0"><![CDATA["<b>avalon_st_adapter</b>" instantiated <b>error_adapter</b> "<b>error_adapter_0</b>"]]></message>
  3586. </messages>
  3587. </entity>
  3588. </deploy>