nios2_uc_nios2.v 9.0 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101
  1. // nios2_uc_nios2.v
  2. // This file was auto-generated from altera_nios2_hw.tcl. If you edit it your changes
  3. // will probably be lost.
  4. //
  5. // Generated using ACDS version 18.1 625
  6. `timescale 1 ps / 1 ps
  7. module nios2_uc_nios2 (
  8. input wire clk, // clk.clk
  9. input wire reset_n, // reset.reset_n
  10. input wire reset_req, // .reset_req
  11. output wire [19:0] d_address, // data_master.address
  12. output wire [3:0] d_byteenable, // .byteenable
  13. output wire d_read, // .read
  14. input wire [31:0] d_readdata, // .readdata
  15. input wire d_waitrequest, // .waitrequest
  16. output wire d_write, // .write
  17. output wire [31:0] d_writedata, // .writedata
  18. output wire debug_mem_slave_debugaccess_to_roms, // .debugaccess
  19. output wire [19:0] i_address, // instruction_master.address
  20. output wire i_read, // .read
  21. input wire [31:0] i_readdata, // .readdata
  22. input wire i_waitrequest, // .waitrequest
  23. input wire [31:0] irq, // irq.irq
  24. output wire debug_reset_request, // debug_reset_request.reset
  25. input wire [8:0] debug_mem_slave_address, // debug_mem_slave.address
  26. input wire [3:0] debug_mem_slave_byteenable, // .byteenable
  27. input wire debug_mem_slave_debugaccess, // .debugaccess
  28. input wire debug_mem_slave_read, // .read
  29. output wire [31:0] debug_mem_slave_readdata, // .readdata
  30. output wire debug_mem_slave_waitrequest, // .waitrequest
  31. input wire debug_mem_slave_write, // .write
  32. input wire [31:0] debug_mem_slave_writedata, // .writedata
  33. input wire E_ci_multi_done, // custom_instruction_master.done
  34. output wire E_ci_multi_clk_en, // .clk_en
  35. output wire E_ci_multi_start, // .start
  36. input wire [31:0] E_ci_result, // .result
  37. output wire [4:0] D_ci_a, // .a
  38. output wire [4:0] D_ci_b, // .b
  39. output wire [4:0] D_ci_c, // .c
  40. output wire [7:0] D_ci_n, // .n
  41. output wire D_ci_readra, // .readra
  42. output wire D_ci_readrb, // .readrb
  43. output wire D_ci_writerc, // .writerc
  44. output wire [31:0] E_ci_dataa, // .dataa
  45. output wire [31:0] E_ci_datab, // .datab
  46. output wire E_ci_multi_clock, // .clk
  47. output wire E_ci_multi_reset, // .reset
  48. output wire E_ci_multi_reset_req, // .reset_req
  49. output wire W_ci_estatus, // .estatus
  50. output wire [31:0] W_ci_ipending // .ipending
  51. );
  52. nios2_uc_nios2_cpu cpu (
  53. .clk (clk), // clk.clk
  54. .reset_n (reset_n), // reset.reset_n
  55. .reset_req (reset_req), // .reset_req
  56. .d_address (d_address), // data_master.address
  57. .d_byteenable (d_byteenable), // .byteenable
  58. .d_read (d_read), // .read
  59. .d_readdata (d_readdata), // .readdata
  60. .d_waitrequest (d_waitrequest), // .waitrequest
  61. .d_write (d_write), // .write
  62. .d_writedata (d_writedata), // .writedata
  63. .debug_mem_slave_debugaccess_to_roms (debug_mem_slave_debugaccess_to_roms), // .debugaccess
  64. .i_address (i_address), // instruction_master.address
  65. .i_read (i_read), // .read
  66. .i_readdata (i_readdata), // .readdata
  67. .i_waitrequest (i_waitrequest), // .waitrequest
  68. .irq (irq), // irq.irq
  69. .debug_reset_request (debug_reset_request), // debug_reset_request.reset
  70. .debug_mem_slave_address (debug_mem_slave_address), // debug_mem_slave.address
  71. .debug_mem_slave_byteenable (debug_mem_slave_byteenable), // .byteenable
  72. .debug_mem_slave_debugaccess (debug_mem_slave_debugaccess), // .debugaccess
  73. .debug_mem_slave_read (debug_mem_slave_read), // .read
  74. .debug_mem_slave_readdata (debug_mem_slave_readdata), // .readdata
  75. .debug_mem_slave_waitrequest (debug_mem_slave_waitrequest), // .waitrequest
  76. .debug_mem_slave_write (debug_mem_slave_write), // .write
  77. .debug_mem_slave_writedata (debug_mem_slave_writedata), // .writedata
  78. .E_ci_multi_done (E_ci_multi_done), // custom_instruction_master.done
  79. .E_ci_multi_clk_en (E_ci_multi_clk_en), // .clk_en
  80. .E_ci_multi_start (E_ci_multi_start), // .start
  81. .E_ci_result (E_ci_result), // .result
  82. .D_ci_a (D_ci_a), // .a
  83. .D_ci_b (D_ci_b), // .b
  84. .D_ci_c (D_ci_c), // .c
  85. .D_ci_n (D_ci_n), // .n
  86. .D_ci_readra (D_ci_readra), // .readra
  87. .D_ci_readrb (D_ci_readrb), // .readrb
  88. .D_ci_writerc (D_ci_writerc), // .writerc
  89. .E_ci_dataa (E_ci_dataa), // .dataa
  90. .E_ci_datab (E_ci_datab), // .datab
  91. .E_ci_multi_clock (E_ci_multi_clock), // .clk
  92. .E_ci_multi_reset (E_ci_multi_reset), // .reset
  93. .E_ci_multi_reset_req (E_ci_multi_reset_req), // .reset_req
  94. .W_ci_estatus (W_ci_estatus), // .estatus
  95. .W_ci_ipending (W_ci_ipending) // .ipending
  96. );
  97. endmodule