fpoint_wrapper.v 1.7 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980
  1. // (C) 2001-2018 Intel Corporation. All rights reserved.
  2. // Your use of Intel Corporation's design tools, logic functions and other
  3. // software and tools, and its AMPP partner logic functions, and any output
  4. // files from any of the foregoing (including device programming or simulation
  5. // files), and any associated documentation or information are expressly subject
  6. // to the terms and conditions of the Intel Program License Subscription
  7. // Agreement, Intel FPGA IP License Agreement, or other applicable
  8. // license agreement, including, without limitation, that your use is for the
  9. // sole purpose of programming logic devices manufactured by Intel and sold by
  10. // Intel or its authorized distributors. Please refer to the applicable
  11. // agreement for further details.
  12. //synopsys translate_off
  13. `timescale 1 ps / 1 ps
  14. //synopsys translate_on
  15. module fpoint_wrapper (
  16. clk,
  17. clk_en,
  18. dataa,
  19. datab,
  20. n,
  21. reset,
  22. start,
  23. done,
  24. result
  25. );
  26. output done;
  27. output [ 31: 0] result;
  28. input clk;
  29. input clk_en;
  30. input [ 31: 0] dataa;
  31. input [ 31: 0] datab;
  32. input [ 1: 0] n;
  33. input reset;
  34. input start;
  35. wire done;
  36. wire [ 31: 0] result;
  37. parameter useDivider = 0;
  38. generate
  39. if (useDivider)
  40. begin
  41. fpoint_hw_qsys fpoint_instance (
  42. .clk(clk),
  43. .clk_en(clk_en),
  44. .dataa(dataa),
  45. .datab(datab),
  46. .n(n),
  47. .reset(reset),
  48. .start(start),
  49. .done(done),
  50. .result(result)
  51. );
  52. end
  53. else
  54. begin
  55. fpoint_qsys fpoint_instance (
  56. .clk(clk),
  57. .clk_en(clk_en),
  58. .dataa(dataa),
  59. .datab(datab),
  60. .n(n),
  61. .reset(reset),
  62. .start(start),
  63. .done(done),
  64. .result(result)
  65. );
  66. end
  67. endgenerate
  68. endmodule