nios2_uc.vhd 98 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017
  1. -- nios2_uc.vhd
  2. -- Generated using ACDS version 18.1 625
  3. library IEEE;
  4. use IEEE.std_logic_1164.all;
  5. use IEEE.numeric_std.all;
  6. entity nios2_uc is
  7. port (
  8. clk_clk : in std_logic := '0'; -- clk.clk
  9. lcd_16207_ext_RS : out std_logic; -- lcd_16207_ext.RS
  10. lcd_16207_ext_RW : out std_logic; -- .RW
  11. lcd_16207_ext_data : inout std_logic_vector(7 downto 0) := (others => '0'); -- .data
  12. lcd_16207_ext_E : out std_logic; -- .E
  13. pio_button_ext_conn_export : in std_logic_vector(7 downto 0) := (others => '0'); -- pio_button_ext_conn.export
  14. pio_led_ext_conn_export : out std_logic_vector(31 downto 0); -- pio_led_ext_conn.export
  15. pio_matrix_ext_conn_export : out std_logic_vector(19 downto 0); -- pio_matrix_ext_conn.export
  16. reset_reset_n : in std_logic := '0' -- reset.reset_n
  17. );
  18. end entity nios2_uc;
  19. architecture rtl of nios2_uc is
  20. component nios2_uc_jtag_uart is
  21. port (
  22. clk : in std_logic := 'X'; -- clk
  23. rst_n : in std_logic := 'X'; -- reset_n
  24. av_chipselect : in std_logic := 'X'; -- chipselect
  25. av_address : in std_logic := 'X'; -- address
  26. av_read_n : in std_logic := 'X'; -- read_n
  27. av_readdata : out std_logic_vector(31 downto 0); -- readdata
  28. av_write_n : in std_logic := 'X'; -- write_n
  29. av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
  30. av_waitrequest : out std_logic; -- waitrequest
  31. av_irq : out std_logic -- irq
  32. );
  33. end component nios2_uc_jtag_uart;
  34. component nios2_uc_lcd_16207 is
  35. port (
  36. reset_n : in std_logic := 'X'; -- reset_n
  37. clk : in std_logic := 'X'; -- clk
  38. begintransfer : in std_logic := 'X'; -- begintransfer
  39. read : in std_logic := 'X'; -- read
  40. write : in std_logic := 'X'; -- write
  41. readdata : out std_logic_vector(7 downto 0); -- readdata
  42. writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata
  43. address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
  44. LCD_RS : out std_logic; -- export
  45. LCD_RW : out std_logic; -- export
  46. LCD_data : inout std_logic_vector(7 downto 0) := (others => 'X'); -- export
  47. LCD_E : out std_logic -- export
  48. );
  49. end component nios2_uc_lcd_16207;
  50. component nios2_uc_nios2 is
  51. port (
  52. clk : in std_logic := 'X'; -- clk
  53. reset_n : in std_logic := 'X'; -- reset_n
  54. reset_req : in std_logic := 'X'; -- reset_req
  55. d_address : out std_logic_vector(19 downto 0); -- address
  56. d_byteenable : out std_logic_vector(3 downto 0); -- byteenable
  57. d_read : out std_logic; -- read
  58. d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  59. d_waitrequest : in std_logic := 'X'; -- waitrequest
  60. d_write : out std_logic; -- write
  61. d_writedata : out std_logic_vector(31 downto 0); -- writedata
  62. debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess
  63. i_address : out std_logic_vector(19 downto 0); -- address
  64. i_read : out std_logic; -- read
  65. i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  66. i_waitrequest : in std_logic := 'X'; -- waitrequest
  67. irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq
  68. debug_reset_request : out std_logic; -- reset
  69. debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address
  70. debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
  71. debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess
  72. debug_mem_slave_read : in std_logic := 'X'; -- read
  73. debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata
  74. debug_mem_slave_waitrequest : out std_logic; -- waitrequest
  75. debug_mem_slave_write : in std_logic := 'X'; -- write
  76. debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
  77. E_ci_multi_done : in std_logic := 'X'; -- done
  78. E_ci_multi_clk_en : out std_logic; -- clk_en
  79. E_ci_multi_start : out std_logic; -- start
  80. E_ci_result : in std_logic_vector(31 downto 0) := (others => 'X'); -- result
  81. D_ci_a : out std_logic_vector(4 downto 0); -- a
  82. D_ci_b : out std_logic_vector(4 downto 0); -- b
  83. D_ci_c : out std_logic_vector(4 downto 0); -- c
  84. D_ci_n : out std_logic_vector(7 downto 0); -- n
  85. D_ci_readra : out std_logic; -- readra
  86. D_ci_readrb : out std_logic; -- readrb
  87. D_ci_writerc : out std_logic; -- writerc
  88. E_ci_dataa : out std_logic_vector(31 downto 0); -- dataa
  89. E_ci_datab : out std_logic_vector(31 downto 0); -- datab
  90. E_ci_multi_clock : out std_logic; -- clk
  91. E_ci_multi_reset : out std_logic; -- reset
  92. E_ci_multi_reset_req : out std_logic; -- reset_req
  93. W_ci_estatus : out std_logic; -- estatus
  94. W_ci_ipending : out std_logic_vector(31 downto 0) -- ipending
  95. );
  96. end component nios2_uc_nios2;
  97. component fpoint_wrapper is
  98. generic (
  99. useDivider : integer := 0
  100. );
  101. port (
  102. clk : in std_logic := 'X'; -- clk
  103. clk_en : in std_logic := 'X'; -- clk_en
  104. dataa : in std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
  105. datab : in std_logic_vector(31 downto 0) := (others => 'X'); -- datab
  106. n : in std_logic_vector(1 downto 0) := (others => 'X'); -- n
  107. reset : in std_logic := 'X'; -- reset
  108. start : in std_logic := 'X'; -- start
  109. done : out std_logic; -- done
  110. result : out std_logic_vector(31 downto 0) -- result
  111. );
  112. end component fpoint_wrapper;
  113. component nios2_uc_onchip_memory2 is
  114. port (
  115. clk : in std_logic := 'X'; -- clk
  116. address : in std_logic_vector(15 downto 0) := (others => 'X'); -- address
  117. clken : in std_logic := 'X'; -- clken
  118. chipselect : in std_logic := 'X'; -- chipselect
  119. write : in std_logic := 'X'; -- write
  120. readdata : out std_logic_vector(31 downto 0); -- readdata
  121. writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
  122. byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
  123. reset : in std_logic := 'X'; -- reset
  124. reset_req : in std_logic := 'X'; -- reset_req
  125. freeze : in std_logic := 'X' -- freeze
  126. );
  127. end component nios2_uc_onchip_memory2;
  128. component nios2_uc_pio_BUTTON is
  129. port (
  130. clk : in std_logic := 'X'; -- clk
  131. reset_n : in std_logic := 'X'; -- reset_n
  132. address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
  133. readdata : out std_logic_vector(31 downto 0); -- readdata
  134. in_port : in std_logic_vector(7 downto 0) := (others => 'X') -- export
  135. );
  136. end component nios2_uc_pio_BUTTON;
  137. component nios2_uc_pio_LED is
  138. port (
  139. clk : in std_logic := 'X'; -- clk
  140. reset_n : in std_logic := 'X'; -- reset_n
  141. address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
  142. write_n : in std_logic := 'X'; -- write_n
  143. writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
  144. chipselect : in std_logic := 'X'; -- chipselect
  145. readdata : out std_logic_vector(31 downto 0); -- readdata
  146. out_port : out std_logic_vector(31 downto 0) -- export
  147. );
  148. end component nios2_uc_pio_LED;
  149. component nios2_uc_pio_MATRIX is
  150. port (
  151. clk : in std_logic := 'X'; -- clk
  152. reset_n : in std_logic := 'X'; -- reset_n
  153. address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
  154. write_n : in std_logic := 'X'; -- write_n
  155. writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
  156. chipselect : in std_logic := 'X'; -- chipselect
  157. readdata : out std_logic_vector(31 downto 0); -- readdata
  158. out_port : out std_logic_vector(19 downto 0) -- export
  159. );
  160. end component nios2_uc_pio_MATRIX;
  161. component altera_customins_master_translator is
  162. generic (
  163. SHARED_COMB_AND_MULTI : integer := 0
  164. );
  165. port (
  166. ci_slave_dataa : in std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
  167. ci_slave_datab : in std_logic_vector(31 downto 0) := (others => 'X'); -- datab
  168. ci_slave_result : out std_logic_vector(31 downto 0); -- result
  169. ci_slave_n : in std_logic_vector(7 downto 0) := (others => 'X'); -- n
  170. ci_slave_readra : in std_logic := 'X'; -- readra
  171. ci_slave_readrb : in std_logic := 'X'; -- readrb
  172. ci_slave_writerc : in std_logic := 'X'; -- writerc
  173. ci_slave_a : in std_logic_vector(4 downto 0) := (others => 'X'); -- a
  174. ci_slave_b : in std_logic_vector(4 downto 0) := (others => 'X'); -- b
  175. ci_slave_c : in std_logic_vector(4 downto 0) := (others => 'X'); -- c
  176. ci_slave_ipending : in std_logic_vector(31 downto 0) := (others => 'X'); -- ipending
  177. ci_slave_estatus : in std_logic := 'X'; -- estatus
  178. ci_slave_multi_clk : in std_logic := 'X'; -- clk
  179. ci_slave_multi_reset : in std_logic := 'X'; -- reset
  180. ci_slave_multi_clken : in std_logic := 'X'; -- clk_en
  181. ci_slave_multi_reset_req : in std_logic := 'X'; -- reset_req
  182. ci_slave_multi_start : in std_logic := 'X'; -- start
  183. ci_slave_multi_done : out std_logic; -- done
  184. comb_ci_master_dataa : out std_logic_vector(31 downto 0); -- dataa
  185. comb_ci_master_datab : out std_logic_vector(31 downto 0); -- datab
  186. comb_ci_master_result : in std_logic_vector(31 downto 0) := (others => 'X'); -- result
  187. comb_ci_master_n : out std_logic_vector(7 downto 0); -- n
  188. comb_ci_master_readra : out std_logic; -- readra
  189. comb_ci_master_readrb : out std_logic; -- readrb
  190. comb_ci_master_writerc : out std_logic; -- writerc
  191. comb_ci_master_a : out std_logic_vector(4 downto 0); -- a
  192. comb_ci_master_b : out std_logic_vector(4 downto 0); -- b
  193. comb_ci_master_c : out std_logic_vector(4 downto 0); -- c
  194. comb_ci_master_ipending : out std_logic_vector(31 downto 0); -- ipending
  195. comb_ci_master_estatus : out std_logic; -- estatus
  196. multi_ci_master_clk : out std_logic; -- clk
  197. multi_ci_master_reset : out std_logic; -- reset
  198. multi_ci_master_clken : out std_logic; -- clk_en
  199. multi_ci_master_reset_req : out std_logic; -- reset_req
  200. multi_ci_master_start : out std_logic; -- start
  201. multi_ci_master_done : in std_logic := 'X'; -- done
  202. multi_ci_master_dataa : out std_logic_vector(31 downto 0); -- dataa
  203. multi_ci_master_datab : out std_logic_vector(31 downto 0); -- datab
  204. multi_ci_master_result : in std_logic_vector(31 downto 0) := (others => 'X'); -- result
  205. multi_ci_master_n : out std_logic_vector(7 downto 0); -- n
  206. multi_ci_master_readra : out std_logic; -- readra
  207. multi_ci_master_readrb : out std_logic; -- readrb
  208. multi_ci_master_writerc : out std_logic; -- writerc
  209. multi_ci_master_a : out std_logic_vector(4 downto 0); -- a
  210. multi_ci_master_b : out std_logic_vector(4 downto 0); -- b
  211. multi_ci_master_c : out std_logic_vector(4 downto 0); -- c
  212. ci_slave_multi_dataa : in std_logic_vector(31 downto 0) := (others => 'X'); -- multi_dataa
  213. ci_slave_multi_datab : in std_logic_vector(31 downto 0) := (others => 'X'); -- multi_datab
  214. ci_slave_multi_result : out std_logic_vector(31 downto 0); -- multi_result
  215. ci_slave_multi_n : in std_logic_vector(7 downto 0) := (others => 'X'); -- multi_n
  216. ci_slave_multi_readra : in std_logic := 'X'; -- multi_readra
  217. ci_slave_multi_readrb : in std_logic := 'X'; -- multi_readrb
  218. ci_slave_multi_writerc : in std_logic := 'X'; -- multi_writerc
  219. ci_slave_multi_a : in std_logic_vector(4 downto 0) := (others => 'X'); -- multi_a
  220. ci_slave_multi_b : in std_logic_vector(4 downto 0) := (others => 'X'); -- multi_b
  221. ci_slave_multi_c : in std_logic_vector(4 downto 0) := (others => 'X') -- multi_c
  222. );
  223. end component altera_customins_master_translator;
  224. component nios2_uc_nios2_custom_instruction_master_multi_xconnect is
  225. port (
  226. ci_slave_dataa : in std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
  227. ci_slave_datab : in std_logic_vector(31 downto 0) := (others => 'X'); -- datab
  228. ci_slave_result : out std_logic_vector(31 downto 0); -- result
  229. ci_slave_n : in std_logic_vector(7 downto 0) := (others => 'X'); -- n
  230. ci_slave_readra : in std_logic := 'X'; -- readra
  231. ci_slave_readrb : in std_logic := 'X'; -- readrb
  232. ci_slave_writerc : in std_logic := 'X'; -- writerc
  233. ci_slave_a : in std_logic_vector(4 downto 0) := (others => 'X'); -- a
  234. ci_slave_b : in std_logic_vector(4 downto 0) := (others => 'X'); -- b
  235. ci_slave_c : in std_logic_vector(4 downto 0) := (others => 'X'); -- c
  236. ci_slave_ipending : in std_logic_vector(31 downto 0) := (others => 'X'); -- ipending
  237. ci_slave_estatus : in std_logic := 'X'; -- estatus
  238. ci_slave_clk : in std_logic := 'X'; -- clk
  239. ci_slave_reset : in std_logic := 'X'; -- reset
  240. ci_slave_clken : in std_logic := 'X'; -- clk_en
  241. ci_slave_reset_req : in std_logic := 'X'; -- reset_req
  242. ci_slave_start : in std_logic := 'X'; -- start
  243. ci_slave_done : out std_logic; -- done
  244. ci_master0_dataa : out std_logic_vector(31 downto 0); -- dataa
  245. ci_master0_datab : out std_logic_vector(31 downto 0); -- datab
  246. ci_master0_result : in std_logic_vector(31 downto 0) := (others => 'X'); -- result
  247. ci_master0_n : out std_logic_vector(7 downto 0); -- n
  248. ci_master0_readra : out std_logic; -- readra
  249. ci_master0_readrb : out std_logic; -- readrb
  250. ci_master0_writerc : out std_logic; -- writerc
  251. ci_master0_a : out std_logic_vector(4 downto 0); -- a
  252. ci_master0_b : out std_logic_vector(4 downto 0); -- b
  253. ci_master0_c : out std_logic_vector(4 downto 0); -- c
  254. ci_master0_ipending : out std_logic_vector(31 downto 0); -- ipending
  255. ci_master0_estatus : out std_logic; -- estatus
  256. ci_master0_clk : out std_logic; -- clk
  257. ci_master0_reset : out std_logic; -- reset
  258. ci_master0_clken : out std_logic; -- clk_en
  259. ci_master0_reset_req : out std_logic; -- reset_req
  260. ci_master0_start : out std_logic; -- start
  261. ci_master0_done : in std_logic := 'X' -- done
  262. );
  263. end component nios2_uc_nios2_custom_instruction_master_multi_xconnect;
  264. component altera_customins_slave_translator is
  265. generic (
  266. N_WIDTH : integer := 8;
  267. USE_DONE : integer := 1;
  268. NUM_FIXED_CYCLES : integer := 2
  269. );
  270. port (
  271. ci_slave_dataa : in std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
  272. ci_slave_datab : in std_logic_vector(31 downto 0) := (others => 'X'); -- datab
  273. ci_slave_result : out std_logic_vector(31 downto 0); -- result
  274. ci_slave_n : in std_logic_vector(7 downto 0) := (others => 'X'); -- n
  275. ci_slave_readra : in std_logic := 'X'; -- readra
  276. ci_slave_readrb : in std_logic := 'X'; -- readrb
  277. ci_slave_writerc : in std_logic := 'X'; -- writerc
  278. ci_slave_a : in std_logic_vector(4 downto 0) := (others => 'X'); -- a
  279. ci_slave_b : in std_logic_vector(4 downto 0) := (others => 'X'); -- b
  280. ci_slave_c : in std_logic_vector(4 downto 0) := (others => 'X'); -- c
  281. ci_slave_ipending : in std_logic_vector(31 downto 0) := (others => 'X'); -- ipending
  282. ci_slave_estatus : in std_logic := 'X'; -- estatus
  283. ci_slave_clk : in std_logic := 'X'; -- clk
  284. ci_slave_clken : in std_logic := 'X'; -- clk_en
  285. ci_slave_reset_req : in std_logic := 'X'; -- reset_req
  286. ci_slave_reset : in std_logic := 'X'; -- reset
  287. ci_slave_start : in std_logic := 'X'; -- start
  288. ci_slave_done : out std_logic; -- done
  289. ci_master_dataa : out std_logic_vector(31 downto 0); -- dataa
  290. ci_master_datab : out std_logic_vector(31 downto 0); -- datab
  291. ci_master_result : in std_logic_vector(31 downto 0) := (others => 'X'); -- result
  292. ci_master_n : out std_logic_vector(1 downto 0); -- n
  293. ci_master_clk : out std_logic; -- clk
  294. ci_master_clken : out std_logic; -- clk_en
  295. ci_master_reset : out std_logic; -- reset
  296. ci_master_start : out std_logic; -- start
  297. ci_master_done : in std_logic := 'X'; -- done
  298. ci_master_readra : out std_logic; -- readra
  299. ci_master_readrb : out std_logic; -- readrb
  300. ci_master_writerc : out std_logic; -- writerc
  301. ci_master_a : out std_logic_vector(4 downto 0); -- a
  302. ci_master_b : out std_logic_vector(4 downto 0); -- b
  303. ci_master_c : out std_logic_vector(4 downto 0); -- c
  304. ci_master_ipending : out std_logic_vector(31 downto 0); -- ipending
  305. ci_master_estatus : out std_logic; -- estatus
  306. ci_master_reset_req : out std_logic -- reset_req
  307. );
  308. end component altera_customins_slave_translator;
  309. component nios2_uc_mm_interconnect_0 is
  310. port (
  311. clk_50_clk_clk : in std_logic := 'X'; -- clk
  312. nios2_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset
  313. nios2_data_master_address : in std_logic_vector(19 downto 0) := (others => 'X'); -- address
  314. nios2_data_master_waitrequest : out std_logic; -- waitrequest
  315. nios2_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
  316. nios2_data_master_read : in std_logic := 'X'; -- read
  317. nios2_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata
  318. nios2_data_master_write : in std_logic := 'X'; -- write
  319. nios2_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
  320. nios2_data_master_debugaccess : in std_logic := 'X'; -- debugaccess
  321. nios2_instruction_master_address : in std_logic_vector(19 downto 0) := (others => 'X'); -- address
  322. nios2_instruction_master_waitrequest : out std_logic; -- waitrequest
  323. nios2_instruction_master_read : in std_logic := 'X'; -- read
  324. nios2_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata
  325. jtag_uart_avalon_jtag_slave_address : out std_logic_vector(0 downto 0); -- address
  326. jtag_uart_avalon_jtag_slave_write : out std_logic; -- write
  327. jtag_uart_avalon_jtag_slave_read : out std_logic; -- read
  328. jtag_uart_avalon_jtag_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  329. jtag_uart_avalon_jtag_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
  330. jtag_uart_avalon_jtag_slave_waitrequest : in std_logic := 'X'; -- waitrequest
  331. jtag_uart_avalon_jtag_slave_chipselect : out std_logic; -- chipselect
  332. lcd_16207_control_slave_address : out std_logic_vector(1 downto 0); -- address
  333. lcd_16207_control_slave_write : out std_logic; -- write
  334. lcd_16207_control_slave_read : out std_logic; -- read
  335. lcd_16207_control_slave_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata
  336. lcd_16207_control_slave_writedata : out std_logic_vector(7 downto 0); -- writedata
  337. lcd_16207_control_slave_begintransfer : out std_logic; -- begintransfer
  338. nios2_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address
  339. nios2_debug_mem_slave_write : out std_logic; -- write
  340. nios2_debug_mem_slave_read : out std_logic; -- read
  341. nios2_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  342. nios2_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata
  343. nios2_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable
  344. nios2_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest
  345. nios2_debug_mem_slave_debugaccess : out std_logic; -- debugaccess
  346. onchip_memory2_s1_address : out std_logic_vector(15 downto 0); -- address
  347. onchip_memory2_s1_write : out std_logic; -- write
  348. onchip_memory2_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  349. onchip_memory2_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
  350. onchip_memory2_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable
  351. onchip_memory2_s1_chipselect : out std_logic; -- chipselect
  352. onchip_memory2_s1_clken : out std_logic; -- clken
  353. pio_BUTTON_s1_address : out std_logic_vector(1 downto 0); -- address
  354. pio_BUTTON_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  355. pio_LED_s1_address : out std_logic_vector(1 downto 0); -- address
  356. pio_LED_s1_write : out std_logic; -- write
  357. pio_LED_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  358. pio_LED_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
  359. pio_LED_s1_chipselect : out std_logic; -- chipselect
  360. pio_MATRIX_s1_address : out std_logic_vector(1 downto 0); -- address
  361. pio_MATRIX_s1_write : out std_logic; -- write
  362. pio_MATRIX_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
  363. pio_MATRIX_s1_writedata : out std_logic_vector(31 downto 0); -- writedata
  364. pio_MATRIX_s1_chipselect : out std_logic -- chipselect
  365. );
  366. end component nios2_uc_mm_interconnect_0;
  367. component nios2_uc_irq_mapper is
  368. port (
  369. clk : in std_logic := 'X'; -- clk
  370. reset : in std_logic := 'X'; -- reset
  371. receiver0_irq : in std_logic := 'X'; -- irq
  372. sender_irq : out std_logic_vector(31 downto 0) -- irq
  373. );
  374. end component nios2_uc_irq_mapper;
  375. component altera_reset_controller is
  376. generic (
  377. NUM_RESET_INPUTS : integer := 6;
  378. OUTPUT_RESET_SYNC_EDGES : string := "deassert";
  379. SYNC_DEPTH : integer := 2;
  380. RESET_REQUEST_PRESENT : integer := 0;
  381. RESET_REQ_WAIT_TIME : integer := 1;
  382. MIN_RST_ASSERTION_TIME : integer := 3;
  383. RESET_REQ_EARLY_DSRT_TIME : integer := 1;
  384. USE_RESET_REQUEST_IN0 : integer := 0;
  385. USE_RESET_REQUEST_IN1 : integer := 0;
  386. USE_RESET_REQUEST_IN2 : integer := 0;
  387. USE_RESET_REQUEST_IN3 : integer := 0;
  388. USE_RESET_REQUEST_IN4 : integer := 0;
  389. USE_RESET_REQUEST_IN5 : integer := 0;
  390. USE_RESET_REQUEST_IN6 : integer := 0;
  391. USE_RESET_REQUEST_IN7 : integer := 0;
  392. USE_RESET_REQUEST_IN8 : integer := 0;
  393. USE_RESET_REQUEST_IN9 : integer := 0;
  394. USE_RESET_REQUEST_IN10 : integer := 0;
  395. USE_RESET_REQUEST_IN11 : integer := 0;
  396. USE_RESET_REQUEST_IN12 : integer := 0;
  397. USE_RESET_REQUEST_IN13 : integer := 0;
  398. USE_RESET_REQUEST_IN14 : integer := 0;
  399. USE_RESET_REQUEST_IN15 : integer := 0;
  400. ADAPT_RESET_REQUEST : integer := 0
  401. );
  402. port (
  403. reset_in0 : in std_logic := 'X'; -- reset
  404. reset_in1 : in std_logic := 'X'; -- reset
  405. clk : in std_logic := 'X'; -- clk
  406. reset_out : out std_logic; -- reset
  407. reset_req : out std_logic; -- reset_req
  408. reset_req_in0 : in std_logic := 'X'; -- reset_req
  409. reset_req_in1 : in std_logic := 'X'; -- reset_req
  410. reset_in2 : in std_logic := 'X'; -- reset
  411. reset_req_in2 : in std_logic := 'X'; -- reset_req
  412. reset_in3 : in std_logic := 'X'; -- reset
  413. reset_req_in3 : in std_logic := 'X'; -- reset_req
  414. reset_in4 : in std_logic := 'X'; -- reset
  415. reset_req_in4 : in std_logic := 'X'; -- reset_req
  416. reset_in5 : in std_logic := 'X'; -- reset
  417. reset_req_in5 : in std_logic := 'X'; -- reset_req
  418. reset_in6 : in std_logic := 'X'; -- reset
  419. reset_req_in6 : in std_logic := 'X'; -- reset_req
  420. reset_in7 : in std_logic := 'X'; -- reset
  421. reset_req_in7 : in std_logic := 'X'; -- reset_req
  422. reset_in8 : in std_logic := 'X'; -- reset
  423. reset_req_in8 : in std_logic := 'X'; -- reset_req
  424. reset_in9 : in std_logic := 'X'; -- reset
  425. reset_req_in9 : in std_logic := 'X'; -- reset_req
  426. reset_in10 : in std_logic := 'X'; -- reset
  427. reset_req_in10 : in std_logic := 'X'; -- reset_req
  428. reset_in11 : in std_logic := 'X'; -- reset
  429. reset_req_in11 : in std_logic := 'X'; -- reset_req
  430. reset_in12 : in std_logic := 'X'; -- reset
  431. reset_req_in12 : in std_logic := 'X'; -- reset_req
  432. reset_in13 : in std_logic := 'X'; -- reset
  433. reset_req_in13 : in std_logic := 'X'; -- reset_req
  434. reset_in14 : in std_logic := 'X'; -- reset
  435. reset_req_in14 : in std_logic := 'X'; -- reset_req
  436. reset_in15 : in std_logic := 'X'; -- reset
  437. reset_req_in15 : in std_logic := 'X' -- reset_req
  438. );
  439. end component altera_reset_controller;
  440. signal nios2_custom_instruction_master_readra : std_logic; -- nios2:D_ci_readra -> nios2_custom_instruction_master_translator:ci_slave_readra
  441. signal nios2_custom_instruction_master_a : std_logic_vector(4 downto 0); -- nios2:D_ci_a -> nios2_custom_instruction_master_translator:ci_slave_a
  442. signal nios2_custom_instruction_master_b : std_logic_vector(4 downto 0); -- nios2:D_ci_b -> nios2_custom_instruction_master_translator:ci_slave_b
  443. signal nios2_custom_instruction_master_c : std_logic_vector(4 downto 0); -- nios2:D_ci_c -> nios2_custom_instruction_master_translator:ci_slave_c
  444. signal nios2_custom_instruction_master_readrb : std_logic; -- nios2:D_ci_readrb -> nios2_custom_instruction_master_translator:ci_slave_readrb
  445. signal nios2_custom_instruction_master_clk : std_logic; -- nios2:E_ci_multi_clock -> nios2_custom_instruction_master_translator:ci_slave_multi_clk
  446. signal nios2_custom_instruction_master_ipending : std_logic_vector(31 downto 0); -- nios2:W_ci_ipending -> nios2_custom_instruction_master_translator:ci_slave_ipending
  447. signal nios2_custom_instruction_master_start : std_logic; -- nios2:E_ci_multi_start -> nios2_custom_instruction_master_translator:ci_slave_multi_start
  448. signal nios2_custom_instruction_master_reset_req : std_logic; -- nios2:E_ci_multi_reset_req -> nios2_custom_instruction_master_translator:ci_slave_multi_reset_req
  449. signal nios2_custom_instruction_master_done : std_logic; -- nios2_custom_instruction_master_translator:ci_slave_multi_done -> nios2:E_ci_multi_done
  450. signal nios2_custom_instruction_master_n : std_logic_vector(7 downto 0); -- nios2:D_ci_n -> nios2_custom_instruction_master_translator:ci_slave_n
  451. signal nios2_custom_instruction_master_result : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_translator:ci_slave_result -> nios2:E_ci_result
  452. signal nios2_custom_instruction_master_estatus : std_logic; -- nios2:W_ci_estatus -> nios2_custom_instruction_master_translator:ci_slave_estatus
  453. signal nios2_custom_instruction_master_clk_en : std_logic; -- nios2:E_ci_multi_clk_en -> nios2_custom_instruction_master_translator:ci_slave_multi_clken
  454. signal nios2_custom_instruction_master_datab : std_logic_vector(31 downto 0); -- nios2:E_ci_datab -> nios2_custom_instruction_master_translator:ci_slave_datab
  455. signal nios2_custom_instruction_master_dataa : std_logic_vector(31 downto 0); -- nios2:E_ci_dataa -> nios2_custom_instruction_master_translator:ci_slave_dataa
  456. signal nios2_custom_instruction_master_reset : std_logic; -- nios2:E_ci_multi_reset -> nios2_custom_instruction_master_translator:ci_slave_multi_reset
  457. signal nios2_custom_instruction_master_writerc : std_logic; -- nios2:D_ci_writerc -> nios2_custom_instruction_master_translator:ci_slave_writerc
  458. signal nios2_custom_instruction_master_translator_multi_ci_master_readra : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_readra -> nios2_custom_instruction_master_multi_xconnect:ci_slave_readra
  459. signal nios2_custom_instruction_master_translator_multi_ci_master_a : std_logic_vector(4 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_a -> nios2_custom_instruction_master_multi_xconnect:ci_slave_a
  460. signal nios2_custom_instruction_master_translator_multi_ci_master_b : std_logic_vector(4 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_b -> nios2_custom_instruction_master_multi_xconnect:ci_slave_b
  461. signal nios2_custom_instruction_master_translator_multi_ci_master_clk : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_clk -> nios2_custom_instruction_master_multi_xconnect:ci_slave_clk
  462. signal nios2_custom_instruction_master_translator_multi_ci_master_readrb : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_readrb -> nios2_custom_instruction_master_multi_xconnect:ci_slave_readrb
  463. signal nios2_custom_instruction_master_translator_multi_ci_master_c : std_logic_vector(4 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_c -> nios2_custom_instruction_master_multi_xconnect:ci_slave_c
  464. signal nios2_custom_instruction_master_translator_multi_ci_master_start : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_start -> nios2_custom_instruction_master_multi_xconnect:ci_slave_start
  465. signal nios2_custom_instruction_master_translator_multi_ci_master_reset_req : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_reset_req -> nios2_custom_instruction_master_multi_xconnect:ci_slave_reset_req
  466. signal nios2_custom_instruction_master_translator_multi_ci_master_done : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_slave_done -> nios2_custom_instruction_master_translator:multi_ci_master_done
  467. signal nios2_custom_instruction_master_translator_multi_ci_master_n : std_logic_vector(7 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_n -> nios2_custom_instruction_master_multi_xconnect:ci_slave_n
  468. signal nios2_custom_instruction_master_translator_multi_ci_master_result : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_slave_result -> nios2_custom_instruction_master_translator:multi_ci_master_result
  469. signal nios2_custom_instruction_master_translator_multi_ci_master_clk_en : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_clken -> nios2_custom_instruction_master_multi_xconnect:ci_slave_clken
  470. signal nios2_custom_instruction_master_translator_multi_ci_master_datab : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_datab -> nios2_custom_instruction_master_multi_xconnect:ci_slave_datab
  471. signal nios2_custom_instruction_master_translator_multi_ci_master_dataa : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_dataa -> nios2_custom_instruction_master_multi_xconnect:ci_slave_dataa
  472. signal nios2_custom_instruction_master_translator_multi_ci_master_reset : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_reset -> nios2_custom_instruction_master_multi_xconnect:ci_slave_reset
  473. signal nios2_custom_instruction_master_translator_multi_ci_master_writerc : std_logic; -- nios2_custom_instruction_master_translator:multi_ci_master_writerc -> nios2_custom_instruction_master_multi_xconnect:ci_slave_writerc
  474. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_readra : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_readra -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_readra
  475. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_a : std_logic_vector(4 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_a -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_a
  476. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_b : std_logic_vector(4 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_b -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_b
  477. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_readrb : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_readrb -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_readrb
  478. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_c : std_logic_vector(4 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_c -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_c
  479. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_clk : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_clk -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_clk
  480. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_ipending : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_ipending -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_ipending
  481. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_start : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_start -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_start
  482. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_reset_req : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_reset_req -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_reset_req
  483. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_done : std_logic; -- nios2_custom_instruction_master_multi_slave_translator0:ci_slave_done -> nios2_custom_instruction_master_multi_xconnect:ci_master0_done
  484. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_n : std_logic_vector(7 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_n -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_n
  485. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_result : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_slave_result -> nios2_custom_instruction_master_multi_xconnect:ci_master0_result
  486. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_estatus : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_estatus -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_estatus
  487. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_clk_en : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_clken -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_clken
  488. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_datab : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_datab -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_datab
  489. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_dataa : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_dataa -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_dataa
  490. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_reset : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_reset -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_reset
  491. signal nios2_custom_instruction_master_multi_xconnect_ci_master0_writerc : std_logic; -- nios2_custom_instruction_master_multi_xconnect:ci_master0_writerc -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_writerc
  492. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_result : std_logic_vector(31 downto 0); -- nios_custom_instr_floating_point_0:result -> nios2_custom_instruction_master_multi_slave_translator0:ci_master_result
  493. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk : std_logic; -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_clk -> nios_custom_instr_floating_point_0:clk
  494. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk_en : std_logic; -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_clken -> nios_custom_instr_floating_point_0:clk_en
  495. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_datab : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_datab -> nios_custom_instr_floating_point_0:datab
  496. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_dataa : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_dataa -> nios_custom_instr_floating_point_0:dataa
  497. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_start : std_logic; -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_start -> nios_custom_instr_floating_point_0:start
  498. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_reset : std_logic; -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_reset -> nios_custom_instr_floating_point_0:reset
  499. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_done : std_logic; -- nios_custom_instr_floating_point_0:done -> nios2_custom_instruction_master_multi_slave_translator0:ci_master_done
  500. signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_n : std_logic_vector(1 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_n -> nios_custom_instr_floating_point_0:n
  501. signal nios2_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_data_master_readdata -> nios2:d_readdata
  502. signal nios2_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_data_master_waitrequest -> nios2:d_waitrequest
  503. signal nios2_data_master_debugaccess : std_logic; -- nios2:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_data_master_debugaccess
  504. signal nios2_data_master_address : std_logic_vector(19 downto 0); -- nios2:d_address -> mm_interconnect_0:nios2_data_master_address
  505. signal nios2_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2:d_byteenable -> mm_interconnect_0:nios2_data_master_byteenable
  506. signal nios2_data_master_read : std_logic; -- nios2:d_read -> mm_interconnect_0:nios2_data_master_read
  507. signal nios2_data_master_write : std_logic; -- nios2:d_write -> mm_interconnect_0:nios2_data_master_write
  508. signal nios2_data_master_writedata : std_logic_vector(31 downto 0); -- nios2:d_writedata -> mm_interconnect_0:nios2_data_master_writedata
  509. signal nios2_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_instruction_master_readdata -> nios2:i_readdata
  510. signal nios2_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_instruction_master_waitrequest -> nios2:i_waitrequest
  511. signal nios2_instruction_master_address : std_logic_vector(19 downto 0); -- nios2:i_address -> mm_interconnect_0:nios2_instruction_master_address
  512. signal nios2_instruction_master_read : std_logic; -- nios2:i_read -> mm_interconnect_0:nios2_instruction_master_read
  513. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect : std_logic; -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_chipselect -> jtag_uart:av_chipselect
  514. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata : std_logic_vector(31 downto 0); -- jtag_uart:av_readdata -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_readdata
  515. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest : std_logic; -- jtag_uart:av_waitrequest -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_waitrequest
  516. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_address : std_logic_vector(0 downto 0); -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_address -> jtag_uart:av_address
  517. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read : std_logic; -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_read -> mm_interconnect_0_jtag_uart_avalon_jtag_slave_read:in
  518. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write : std_logic; -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_write -> mm_interconnect_0_jtag_uart_avalon_jtag_slave_write:in
  519. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_writedata -> jtag_uart:av_writedata
  520. signal mm_interconnect_0_lcd_16207_control_slave_readdata : std_logic_vector(7 downto 0); -- lcd_16207:readdata -> mm_interconnect_0:lcd_16207_control_slave_readdata
  521. signal mm_interconnect_0_lcd_16207_control_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:lcd_16207_control_slave_address -> lcd_16207:address
  522. signal mm_interconnect_0_lcd_16207_control_slave_read : std_logic; -- mm_interconnect_0:lcd_16207_control_slave_read -> lcd_16207:read
  523. signal mm_interconnect_0_lcd_16207_control_slave_begintransfer : std_logic; -- mm_interconnect_0:lcd_16207_control_slave_begintransfer -> lcd_16207:begintransfer
  524. signal mm_interconnect_0_lcd_16207_control_slave_write : std_logic; -- mm_interconnect_0:lcd_16207_control_slave_write -> lcd_16207:write
  525. signal mm_interconnect_0_lcd_16207_control_slave_writedata : std_logic_vector(7 downto 0); -- mm_interconnect_0:lcd_16207_control_slave_writedata -> lcd_16207:writedata
  526. signal mm_interconnect_0_nios2_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2:debug_mem_slave_readdata -> mm_interconnect_0:nios2_debug_mem_slave_readdata
  527. signal mm_interconnect_0_nios2_debug_mem_slave_waitrequest : std_logic; -- nios2:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_debug_mem_slave_waitrequest
  528. signal mm_interconnect_0_nios2_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_debug_mem_slave_debugaccess -> nios2:debug_mem_slave_debugaccess
  529. signal mm_interconnect_0_nios2_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_debug_mem_slave_address -> nios2:debug_mem_slave_address
  530. signal mm_interconnect_0_nios2_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_debug_mem_slave_read -> nios2:debug_mem_slave_read
  531. signal mm_interconnect_0_nios2_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_debug_mem_slave_byteenable -> nios2:debug_mem_slave_byteenable
  532. signal mm_interconnect_0_nios2_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_debug_mem_slave_write -> nios2:debug_mem_slave_write
  533. signal mm_interconnect_0_nios2_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_debug_mem_slave_writedata -> nios2:debug_mem_slave_writedata
  534. signal mm_interconnect_0_onchip_memory2_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_s1_chipselect -> onchip_memory2:chipselect
  535. signal mm_interconnect_0_onchip_memory2_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2:readdata -> mm_interconnect_0:onchip_memory2_s1_readdata
  536. signal mm_interconnect_0_onchip_memory2_s1_address : std_logic_vector(15 downto 0); -- mm_interconnect_0:onchip_memory2_s1_address -> onchip_memory2:address
  537. signal mm_interconnect_0_onchip_memory2_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_s1_byteenable -> onchip_memory2:byteenable
  538. signal mm_interconnect_0_onchip_memory2_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_s1_write -> onchip_memory2:write
  539. signal mm_interconnect_0_onchip_memory2_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_s1_writedata -> onchip_memory2:writedata
  540. signal mm_interconnect_0_onchip_memory2_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_s1_clken -> onchip_memory2:clken
  541. signal mm_interconnect_0_pio_led_s1_chipselect : std_logic; -- mm_interconnect_0:pio_LED_s1_chipselect -> pio_LED:chipselect
  542. signal mm_interconnect_0_pio_led_s1_readdata : std_logic_vector(31 downto 0); -- pio_LED:readdata -> mm_interconnect_0:pio_LED_s1_readdata
  543. signal mm_interconnect_0_pio_led_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_LED_s1_address -> pio_LED:address
  544. signal mm_interconnect_0_pio_led_s1_write : std_logic; -- mm_interconnect_0:pio_LED_s1_write -> mm_interconnect_0_pio_led_s1_write:in
  545. signal mm_interconnect_0_pio_led_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_LED_s1_writedata -> pio_LED:writedata
  546. signal mm_interconnect_0_pio_matrix_s1_chipselect : std_logic; -- mm_interconnect_0:pio_MATRIX_s1_chipselect -> pio_MATRIX:chipselect
  547. signal mm_interconnect_0_pio_matrix_s1_readdata : std_logic_vector(31 downto 0); -- pio_MATRIX:readdata -> mm_interconnect_0:pio_MATRIX_s1_readdata
  548. signal mm_interconnect_0_pio_matrix_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_MATRIX_s1_address -> pio_MATRIX:address
  549. signal mm_interconnect_0_pio_matrix_s1_write : std_logic; -- mm_interconnect_0:pio_MATRIX_s1_write -> mm_interconnect_0_pio_matrix_s1_write:in
  550. signal mm_interconnect_0_pio_matrix_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_MATRIX_s1_writedata -> pio_MATRIX:writedata
  551. signal mm_interconnect_0_pio_button_s1_readdata : std_logic_vector(31 downto 0); -- pio_BUTTON:readdata -> mm_interconnect_0:pio_BUTTON_s1_readdata
  552. signal mm_interconnect_0_pio_button_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_BUTTON_s1_address -> pio_BUTTON:address
  553. signal irq_mapper_receiver0_irq : std_logic; -- jtag_uart:av_irq -> irq_mapper:receiver0_irq
  554. signal nios2_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2:irq
  555. signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [irq_mapper:reset, mm_interconnect_0:nios2_reset_reset_bridge_in_reset_reset, onchip_memory2:reset, rst_controller_reset_out_reset:in, rst_translator:in_reset]
  556. signal rst_controller_reset_out_reset_req : std_logic; -- rst_controller:reset_req -> [nios2:reset_req, onchip_memory2:reset_req, rst_translator:reset_req_in]
  557. signal nios2_debug_reset_request_reset : std_logic; -- nios2:debug_reset_request -> rst_controller:reset_in1
  558. signal reset_reset_n_ports_inv : std_logic; -- reset_reset_n:inv -> rst_controller:reset_in0
  559. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv : std_logic; -- mm_interconnect_0_jtag_uart_avalon_jtag_slave_read:inv -> jtag_uart:av_read_n
  560. signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv : std_logic; -- mm_interconnect_0_jtag_uart_avalon_jtag_slave_write:inv -> jtag_uart:av_write_n
  561. signal mm_interconnect_0_pio_led_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_led_s1_write:inv -> pio_LED:write_n
  562. signal mm_interconnect_0_pio_matrix_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_matrix_s1_write:inv -> pio_MATRIX:write_n
  563. signal rst_controller_reset_out_reset_ports_inv : std_logic; -- rst_controller_reset_out_reset:inv -> [jtag_uart:rst_n, lcd_16207:reset_n, nios2:reset_n, pio_BUTTON:reset_n, pio_LED:reset_n, pio_MATRIX:reset_n]
  564. begin
  565. jtag_uart : component nios2_uc_jtag_uart
  566. port map (
  567. clk => clk_clk, -- clk.clk
  568. rst_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
  569. av_chipselect => mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect, -- avalon_jtag_slave.chipselect
  570. av_address => mm_interconnect_0_jtag_uart_avalon_jtag_slave_address(0), -- .address
  571. av_read_n => mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv, -- .read_n
  572. av_readdata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata, -- .readdata
  573. av_write_n => mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv, -- .write_n
  574. av_writedata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata, -- .writedata
  575. av_waitrequest => mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest, -- .waitrequest
  576. av_irq => irq_mapper_receiver0_irq -- irq.irq
  577. );
  578. lcd_16207 : component nios2_uc_lcd_16207
  579. port map (
  580. reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
  581. clk => clk_clk, -- clk.clk
  582. begintransfer => mm_interconnect_0_lcd_16207_control_slave_begintransfer, -- control_slave.begintransfer
  583. read => mm_interconnect_0_lcd_16207_control_slave_read, -- .read
  584. write => mm_interconnect_0_lcd_16207_control_slave_write, -- .write
  585. readdata => mm_interconnect_0_lcd_16207_control_slave_readdata, -- .readdata
  586. writedata => mm_interconnect_0_lcd_16207_control_slave_writedata, -- .writedata
  587. address => mm_interconnect_0_lcd_16207_control_slave_address, -- .address
  588. LCD_RS => lcd_16207_ext_RS, -- external.export
  589. LCD_RW => lcd_16207_ext_RW, -- .export
  590. LCD_data => lcd_16207_ext_data, -- .export
  591. LCD_E => lcd_16207_ext_E -- .export
  592. );
  593. nios2 : component nios2_uc_nios2
  594. port map (
  595. clk => clk_clk, -- clk.clk
  596. reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
  597. reset_req => rst_controller_reset_out_reset_req, -- .reset_req
  598. d_address => nios2_data_master_address, -- data_master.address
  599. d_byteenable => nios2_data_master_byteenable, -- .byteenable
  600. d_read => nios2_data_master_read, -- .read
  601. d_readdata => nios2_data_master_readdata, -- .readdata
  602. d_waitrequest => nios2_data_master_waitrequest, -- .waitrequest
  603. d_write => nios2_data_master_write, -- .write
  604. d_writedata => nios2_data_master_writedata, -- .writedata
  605. debug_mem_slave_debugaccess_to_roms => nios2_data_master_debugaccess, -- .debugaccess
  606. i_address => nios2_instruction_master_address, -- instruction_master.address
  607. i_read => nios2_instruction_master_read, -- .read
  608. i_readdata => nios2_instruction_master_readdata, -- .readdata
  609. i_waitrequest => nios2_instruction_master_waitrequest, -- .waitrequest
  610. irq => nios2_irq_irq, -- irq.irq
  611. debug_reset_request => nios2_debug_reset_request_reset, -- debug_reset_request.reset
  612. debug_mem_slave_address => mm_interconnect_0_nios2_debug_mem_slave_address, -- debug_mem_slave.address
  613. debug_mem_slave_byteenable => mm_interconnect_0_nios2_debug_mem_slave_byteenable, -- .byteenable
  614. debug_mem_slave_debugaccess => mm_interconnect_0_nios2_debug_mem_slave_debugaccess, -- .debugaccess
  615. debug_mem_slave_read => mm_interconnect_0_nios2_debug_mem_slave_read, -- .read
  616. debug_mem_slave_readdata => mm_interconnect_0_nios2_debug_mem_slave_readdata, -- .readdata
  617. debug_mem_slave_waitrequest => mm_interconnect_0_nios2_debug_mem_slave_waitrequest, -- .waitrequest
  618. debug_mem_slave_write => mm_interconnect_0_nios2_debug_mem_slave_write, -- .write
  619. debug_mem_slave_writedata => mm_interconnect_0_nios2_debug_mem_slave_writedata, -- .writedata
  620. E_ci_multi_done => nios2_custom_instruction_master_done, -- custom_instruction_master.done
  621. E_ci_multi_clk_en => nios2_custom_instruction_master_clk_en, -- .clk_en
  622. E_ci_multi_start => nios2_custom_instruction_master_start, -- .start
  623. E_ci_result => nios2_custom_instruction_master_result, -- .result
  624. D_ci_a => nios2_custom_instruction_master_a, -- .a
  625. D_ci_b => nios2_custom_instruction_master_b, -- .b
  626. D_ci_c => nios2_custom_instruction_master_c, -- .c
  627. D_ci_n => nios2_custom_instruction_master_n, -- .n
  628. D_ci_readra => nios2_custom_instruction_master_readra, -- .readra
  629. D_ci_readrb => nios2_custom_instruction_master_readrb, -- .readrb
  630. D_ci_writerc => nios2_custom_instruction_master_writerc, -- .writerc
  631. E_ci_dataa => nios2_custom_instruction_master_dataa, -- .dataa
  632. E_ci_datab => nios2_custom_instruction_master_datab, -- .datab
  633. E_ci_multi_clock => nios2_custom_instruction_master_clk, -- .clk
  634. E_ci_multi_reset => nios2_custom_instruction_master_reset, -- .reset
  635. E_ci_multi_reset_req => nios2_custom_instruction_master_reset_req, -- .reset_req
  636. W_ci_estatus => nios2_custom_instruction_master_estatus, -- .estatus
  637. W_ci_ipending => nios2_custom_instruction_master_ipending -- .ipending
  638. );
  639. nios_custom_instr_floating_point_0 : component fpoint_wrapper
  640. generic map (
  641. useDivider => 1
  642. )
  643. port map (
  644. clk => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk, -- s1.clk
  645. clk_en => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk_en, -- .clk_en
  646. dataa => nios2_custom_instruction_master_multi_slave_translator0_ci_master_dataa, -- .dataa
  647. datab => nios2_custom_instruction_master_multi_slave_translator0_ci_master_datab, -- .datab
  648. n => nios2_custom_instruction_master_multi_slave_translator0_ci_master_n, -- .n
  649. reset => nios2_custom_instruction_master_multi_slave_translator0_ci_master_reset, -- .reset
  650. start => nios2_custom_instruction_master_multi_slave_translator0_ci_master_start, -- .start
  651. done => nios2_custom_instruction_master_multi_slave_translator0_ci_master_done, -- .done
  652. result => nios2_custom_instruction_master_multi_slave_translator0_ci_master_result -- .result
  653. );
  654. onchip_memory2 : component nios2_uc_onchip_memory2
  655. port map (
  656. clk => clk_clk, -- clk1.clk
  657. address => mm_interconnect_0_onchip_memory2_s1_address, -- s1.address
  658. clken => mm_interconnect_0_onchip_memory2_s1_clken, -- .clken
  659. chipselect => mm_interconnect_0_onchip_memory2_s1_chipselect, -- .chipselect
  660. write => mm_interconnect_0_onchip_memory2_s1_write, -- .write
  661. readdata => mm_interconnect_0_onchip_memory2_s1_readdata, -- .readdata
  662. writedata => mm_interconnect_0_onchip_memory2_s1_writedata, -- .writedata
  663. byteenable => mm_interconnect_0_onchip_memory2_s1_byteenable, -- .byteenable
  664. reset => rst_controller_reset_out_reset, -- reset1.reset
  665. reset_req => rst_controller_reset_out_reset_req, -- .reset_req
  666. freeze => '0' -- (terminated)
  667. );
  668. pio_button : component nios2_uc_pio_BUTTON
  669. port map (
  670. clk => clk_clk, -- clk.clk
  671. reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
  672. address => mm_interconnect_0_pio_button_s1_address, -- s1.address
  673. readdata => mm_interconnect_0_pio_button_s1_readdata, -- .readdata
  674. in_port => pio_button_ext_conn_export -- external_connection.export
  675. );
  676. pio_led : component nios2_uc_pio_LED
  677. port map (
  678. clk => clk_clk, -- clk.clk
  679. reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
  680. address => mm_interconnect_0_pio_led_s1_address, -- s1.address
  681. write_n => mm_interconnect_0_pio_led_s1_write_ports_inv, -- .write_n
  682. writedata => mm_interconnect_0_pio_led_s1_writedata, -- .writedata
  683. chipselect => mm_interconnect_0_pio_led_s1_chipselect, -- .chipselect
  684. readdata => mm_interconnect_0_pio_led_s1_readdata, -- .readdata
  685. out_port => pio_led_ext_conn_export -- external_connection.export
  686. );
  687. pio_matrix : component nios2_uc_pio_MATRIX
  688. port map (
  689. clk => clk_clk, -- clk.clk
  690. reset_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n
  691. address => mm_interconnect_0_pio_matrix_s1_address, -- s1.address
  692. write_n => mm_interconnect_0_pio_matrix_s1_write_ports_inv, -- .write_n
  693. writedata => mm_interconnect_0_pio_matrix_s1_writedata, -- .writedata
  694. chipselect => mm_interconnect_0_pio_matrix_s1_chipselect, -- .chipselect
  695. readdata => mm_interconnect_0_pio_matrix_s1_readdata, -- .readdata
  696. out_port => pio_matrix_ext_conn_export -- external_connection.export
  697. );
  698. nios2_custom_instruction_master_translator : component altera_customins_master_translator
  699. generic map (
  700. SHARED_COMB_AND_MULTI => 1
  701. )
  702. port map (
  703. ci_slave_dataa => nios2_custom_instruction_master_dataa, -- ci_slave.dataa
  704. ci_slave_datab => nios2_custom_instruction_master_datab, -- .datab
  705. ci_slave_result => nios2_custom_instruction_master_result, -- .result
  706. ci_slave_n => nios2_custom_instruction_master_n, -- .n
  707. ci_slave_readra => nios2_custom_instruction_master_readra, -- .readra
  708. ci_slave_readrb => nios2_custom_instruction_master_readrb, -- .readrb
  709. ci_slave_writerc => nios2_custom_instruction_master_writerc, -- .writerc
  710. ci_slave_a => nios2_custom_instruction_master_a, -- .a
  711. ci_slave_b => nios2_custom_instruction_master_b, -- .b
  712. ci_slave_c => nios2_custom_instruction_master_c, -- .c
  713. ci_slave_ipending => nios2_custom_instruction_master_ipending, -- .ipending
  714. ci_slave_estatus => nios2_custom_instruction_master_estatus, -- .estatus
  715. ci_slave_multi_clk => nios2_custom_instruction_master_clk, -- .clk
  716. ci_slave_multi_reset => nios2_custom_instruction_master_reset, -- .reset
  717. ci_slave_multi_clken => nios2_custom_instruction_master_clk_en, -- .clk_en
  718. ci_slave_multi_reset_req => nios2_custom_instruction_master_reset_req, -- .reset_req
  719. ci_slave_multi_start => nios2_custom_instruction_master_start, -- .start
  720. ci_slave_multi_done => nios2_custom_instruction_master_done, -- .done
  721. comb_ci_master_dataa => open, -- comb_ci_master.dataa
  722. comb_ci_master_datab => open, -- .datab
  723. comb_ci_master_result => open, -- .result
  724. comb_ci_master_n => open, -- .n
  725. comb_ci_master_readra => open, -- .readra
  726. comb_ci_master_readrb => open, -- .readrb
  727. comb_ci_master_writerc => open, -- .writerc
  728. comb_ci_master_a => open, -- .a
  729. comb_ci_master_b => open, -- .b
  730. comb_ci_master_c => open, -- .c
  731. comb_ci_master_ipending => open, -- .ipending
  732. comb_ci_master_estatus => open, -- .estatus
  733. multi_ci_master_clk => nios2_custom_instruction_master_translator_multi_ci_master_clk, -- multi_ci_master.clk
  734. multi_ci_master_reset => nios2_custom_instruction_master_translator_multi_ci_master_reset, -- .reset
  735. multi_ci_master_clken => nios2_custom_instruction_master_translator_multi_ci_master_clk_en, -- .clk_en
  736. multi_ci_master_reset_req => nios2_custom_instruction_master_translator_multi_ci_master_reset_req, -- .reset_req
  737. multi_ci_master_start => nios2_custom_instruction_master_translator_multi_ci_master_start, -- .start
  738. multi_ci_master_done => nios2_custom_instruction_master_translator_multi_ci_master_done, -- .done
  739. multi_ci_master_dataa => nios2_custom_instruction_master_translator_multi_ci_master_dataa, -- .dataa
  740. multi_ci_master_datab => nios2_custom_instruction_master_translator_multi_ci_master_datab, -- .datab
  741. multi_ci_master_result => nios2_custom_instruction_master_translator_multi_ci_master_result, -- .result
  742. multi_ci_master_n => nios2_custom_instruction_master_translator_multi_ci_master_n, -- .n
  743. multi_ci_master_readra => nios2_custom_instruction_master_translator_multi_ci_master_readra, -- .readra
  744. multi_ci_master_readrb => nios2_custom_instruction_master_translator_multi_ci_master_readrb, -- .readrb
  745. multi_ci_master_writerc => nios2_custom_instruction_master_translator_multi_ci_master_writerc, -- .writerc
  746. multi_ci_master_a => nios2_custom_instruction_master_translator_multi_ci_master_a, -- .a
  747. multi_ci_master_b => nios2_custom_instruction_master_translator_multi_ci_master_b, -- .b
  748. multi_ci_master_c => nios2_custom_instruction_master_translator_multi_ci_master_c, -- .c
  749. ci_slave_multi_dataa => "00000000000000000000000000000000", -- (terminated)
  750. ci_slave_multi_datab => "00000000000000000000000000000000", -- (terminated)
  751. ci_slave_multi_result => open, -- (terminated)
  752. ci_slave_multi_n => "00000000", -- (terminated)
  753. ci_slave_multi_readra => '0', -- (terminated)
  754. ci_slave_multi_readrb => '0', -- (terminated)
  755. ci_slave_multi_writerc => '0', -- (terminated)
  756. ci_slave_multi_a => "00000", -- (terminated)
  757. ci_slave_multi_b => "00000", -- (terminated)
  758. ci_slave_multi_c => "00000" -- (terminated)
  759. );
  760. nios2_custom_instruction_master_multi_xconnect : component nios2_uc_nios2_custom_instruction_master_multi_xconnect
  761. port map (
  762. ci_slave_dataa => nios2_custom_instruction_master_translator_multi_ci_master_dataa, -- ci_slave.dataa
  763. ci_slave_datab => nios2_custom_instruction_master_translator_multi_ci_master_datab, -- .datab
  764. ci_slave_result => nios2_custom_instruction_master_translator_multi_ci_master_result, -- .result
  765. ci_slave_n => nios2_custom_instruction_master_translator_multi_ci_master_n, -- .n
  766. ci_slave_readra => nios2_custom_instruction_master_translator_multi_ci_master_readra, -- .readra
  767. ci_slave_readrb => nios2_custom_instruction_master_translator_multi_ci_master_readrb, -- .readrb
  768. ci_slave_writerc => nios2_custom_instruction_master_translator_multi_ci_master_writerc, -- .writerc
  769. ci_slave_a => nios2_custom_instruction_master_translator_multi_ci_master_a, -- .a
  770. ci_slave_b => nios2_custom_instruction_master_translator_multi_ci_master_b, -- .b
  771. ci_slave_c => nios2_custom_instruction_master_translator_multi_ci_master_c, -- .c
  772. ci_slave_ipending => open, -- .ipending
  773. ci_slave_estatus => open, -- .estatus
  774. ci_slave_clk => nios2_custom_instruction_master_translator_multi_ci_master_clk, -- .clk
  775. ci_slave_reset => nios2_custom_instruction_master_translator_multi_ci_master_reset, -- .reset
  776. ci_slave_clken => nios2_custom_instruction_master_translator_multi_ci_master_clk_en, -- .clk_en
  777. ci_slave_reset_req => nios2_custom_instruction_master_translator_multi_ci_master_reset_req, -- .reset_req
  778. ci_slave_start => nios2_custom_instruction_master_translator_multi_ci_master_start, -- .start
  779. ci_slave_done => nios2_custom_instruction_master_translator_multi_ci_master_done, -- .done
  780. ci_master0_dataa => nios2_custom_instruction_master_multi_xconnect_ci_master0_dataa, -- ci_master0.dataa
  781. ci_master0_datab => nios2_custom_instruction_master_multi_xconnect_ci_master0_datab, -- .datab
  782. ci_master0_result => nios2_custom_instruction_master_multi_xconnect_ci_master0_result, -- .result
  783. ci_master0_n => nios2_custom_instruction_master_multi_xconnect_ci_master0_n, -- .n
  784. ci_master0_readra => nios2_custom_instruction_master_multi_xconnect_ci_master0_readra, -- .readra
  785. ci_master0_readrb => nios2_custom_instruction_master_multi_xconnect_ci_master0_readrb, -- .readrb
  786. ci_master0_writerc => nios2_custom_instruction_master_multi_xconnect_ci_master0_writerc, -- .writerc
  787. ci_master0_a => nios2_custom_instruction_master_multi_xconnect_ci_master0_a, -- .a
  788. ci_master0_b => nios2_custom_instruction_master_multi_xconnect_ci_master0_b, -- .b
  789. ci_master0_c => nios2_custom_instruction_master_multi_xconnect_ci_master0_c, -- .c
  790. ci_master0_ipending => nios2_custom_instruction_master_multi_xconnect_ci_master0_ipending, -- .ipending
  791. ci_master0_estatus => nios2_custom_instruction_master_multi_xconnect_ci_master0_estatus, -- .estatus
  792. ci_master0_clk => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk, -- .clk
  793. ci_master0_reset => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset, -- .reset
  794. ci_master0_clken => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk_en, -- .clk_en
  795. ci_master0_reset_req => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset_req, -- .reset_req
  796. ci_master0_start => nios2_custom_instruction_master_multi_xconnect_ci_master0_start, -- .start
  797. ci_master0_done => nios2_custom_instruction_master_multi_xconnect_ci_master0_done -- .done
  798. );
  799. nios2_custom_instruction_master_multi_slave_translator0 : component altera_customins_slave_translator
  800. generic map (
  801. N_WIDTH => 2,
  802. USE_DONE => 1,
  803. NUM_FIXED_CYCLES => 1
  804. )
  805. port map (
  806. ci_slave_dataa => nios2_custom_instruction_master_multi_xconnect_ci_master0_dataa, -- ci_slave.dataa
  807. ci_slave_datab => nios2_custom_instruction_master_multi_xconnect_ci_master0_datab, -- .datab
  808. ci_slave_result => nios2_custom_instruction_master_multi_xconnect_ci_master0_result, -- .result
  809. ci_slave_n => nios2_custom_instruction_master_multi_xconnect_ci_master0_n, -- .n
  810. ci_slave_readra => nios2_custom_instruction_master_multi_xconnect_ci_master0_readra, -- .readra
  811. ci_slave_readrb => nios2_custom_instruction_master_multi_xconnect_ci_master0_readrb, -- .readrb
  812. ci_slave_writerc => nios2_custom_instruction_master_multi_xconnect_ci_master0_writerc, -- .writerc
  813. ci_slave_a => nios2_custom_instruction_master_multi_xconnect_ci_master0_a, -- .a
  814. ci_slave_b => nios2_custom_instruction_master_multi_xconnect_ci_master0_b, -- .b
  815. ci_slave_c => nios2_custom_instruction_master_multi_xconnect_ci_master0_c, -- .c
  816. ci_slave_ipending => nios2_custom_instruction_master_multi_xconnect_ci_master0_ipending, -- .ipending
  817. ci_slave_estatus => nios2_custom_instruction_master_multi_xconnect_ci_master0_estatus, -- .estatus
  818. ci_slave_clk => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk, -- .clk
  819. ci_slave_clken => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk_en, -- .clk_en
  820. ci_slave_reset_req => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset_req, -- .reset_req
  821. ci_slave_reset => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset, -- .reset
  822. ci_slave_start => nios2_custom_instruction_master_multi_xconnect_ci_master0_start, -- .start
  823. ci_slave_done => nios2_custom_instruction_master_multi_xconnect_ci_master0_done, -- .done
  824. ci_master_dataa => nios2_custom_instruction_master_multi_slave_translator0_ci_master_dataa, -- ci_master.dataa
  825. ci_master_datab => nios2_custom_instruction_master_multi_slave_translator0_ci_master_datab, -- .datab
  826. ci_master_result => nios2_custom_instruction_master_multi_slave_translator0_ci_master_result, -- .result
  827. ci_master_n => nios2_custom_instruction_master_multi_slave_translator0_ci_master_n, -- .n
  828. ci_master_clk => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk, -- .clk
  829. ci_master_clken => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk_en, -- .clk_en
  830. ci_master_reset => nios2_custom_instruction_master_multi_slave_translator0_ci_master_reset, -- .reset
  831. ci_master_start => nios2_custom_instruction_master_multi_slave_translator0_ci_master_start, -- .start
  832. ci_master_done => nios2_custom_instruction_master_multi_slave_translator0_ci_master_done, -- .done
  833. ci_master_readra => open, -- (terminated)
  834. ci_master_readrb => open, -- (terminated)
  835. ci_master_writerc => open, -- (terminated)
  836. ci_master_a => open, -- (terminated)
  837. ci_master_b => open, -- (terminated)
  838. ci_master_c => open, -- (terminated)
  839. ci_master_ipending => open, -- (terminated)
  840. ci_master_estatus => open, -- (terminated)
  841. ci_master_reset_req => open -- (terminated)
  842. );
  843. mm_interconnect_0 : component nios2_uc_mm_interconnect_0
  844. port map (
  845. clk_50_clk_clk => clk_clk, -- clk_50_clk.clk
  846. nios2_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- nios2_reset_reset_bridge_in_reset.reset
  847. nios2_data_master_address => nios2_data_master_address, -- nios2_data_master.address
  848. nios2_data_master_waitrequest => nios2_data_master_waitrequest, -- .waitrequest
  849. nios2_data_master_byteenable => nios2_data_master_byteenable, -- .byteenable
  850. nios2_data_master_read => nios2_data_master_read, -- .read
  851. nios2_data_master_readdata => nios2_data_master_readdata, -- .readdata
  852. nios2_data_master_write => nios2_data_master_write, -- .write
  853. nios2_data_master_writedata => nios2_data_master_writedata, -- .writedata
  854. nios2_data_master_debugaccess => nios2_data_master_debugaccess, -- .debugaccess
  855. nios2_instruction_master_address => nios2_instruction_master_address, -- nios2_instruction_master.address
  856. nios2_instruction_master_waitrequest => nios2_instruction_master_waitrequest, -- .waitrequest
  857. nios2_instruction_master_read => nios2_instruction_master_read, -- .read
  858. nios2_instruction_master_readdata => nios2_instruction_master_readdata, -- .readdata
  859. jtag_uart_avalon_jtag_slave_address => mm_interconnect_0_jtag_uart_avalon_jtag_slave_address, -- jtag_uart_avalon_jtag_slave.address
  860. jtag_uart_avalon_jtag_slave_write => mm_interconnect_0_jtag_uart_avalon_jtag_slave_write, -- .write
  861. jtag_uart_avalon_jtag_slave_read => mm_interconnect_0_jtag_uart_avalon_jtag_slave_read, -- .read
  862. jtag_uart_avalon_jtag_slave_readdata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata, -- .readdata
  863. jtag_uart_avalon_jtag_slave_writedata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata, -- .writedata
  864. jtag_uart_avalon_jtag_slave_waitrequest => mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest, -- .waitrequest
  865. jtag_uart_avalon_jtag_slave_chipselect => mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect, -- .chipselect
  866. lcd_16207_control_slave_address => mm_interconnect_0_lcd_16207_control_slave_address, -- lcd_16207_control_slave.address
  867. lcd_16207_control_slave_write => mm_interconnect_0_lcd_16207_control_slave_write, -- .write
  868. lcd_16207_control_slave_read => mm_interconnect_0_lcd_16207_control_slave_read, -- .read
  869. lcd_16207_control_slave_readdata => mm_interconnect_0_lcd_16207_control_slave_readdata, -- .readdata
  870. lcd_16207_control_slave_writedata => mm_interconnect_0_lcd_16207_control_slave_writedata, -- .writedata
  871. lcd_16207_control_slave_begintransfer => mm_interconnect_0_lcd_16207_control_slave_begintransfer, -- .begintransfer
  872. nios2_debug_mem_slave_address => mm_interconnect_0_nios2_debug_mem_slave_address, -- nios2_debug_mem_slave.address
  873. nios2_debug_mem_slave_write => mm_interconnect_0_nios2_debug_mem_slave_write, -- .write
  874. nios2_debug_mem_slave_read => mm_interconnect_0_nios2_debug_mem_slave_read, -- .read
  875. nios2_debug_mem_slave_readdata => mm_interconnect_0_nios2_debug_mem_slave_readdata, -- .readdata
  876. nios2_debug_mem_slave_writedata => mm_interconnect_0_nios2_debug_mem_slave_writedata, -- .writedata
  877. nios2_debug_mem_slave_byteenable => mm_interconnect_0_nios2_debug_mem_slave_byteenable, -- .byteenable
  878. nios2_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_debug_mem_slave_waitrequest, -- .waitrequest
  879. nios2_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_debug_mem_slave_debugaccess, -- .debugaccess
  880. onchip_memory2_s1_address => mm_interconnect_0_onchip_memory2_s1_address, -- onchip_memory2_s1.address
  881. onchip_memory2_s1_write => mm_interconnect_0_onchip_memory2_s1_write, -- .write
  882. onchip_memory2_s1_readdata => mm_interconnect_0_onchip_memory2_s1_readdata, -- .readdata
  883. onchip_memory2_s1_writedata => mm_interconnect_0_onchip_memory2_s1_writedata, -- .writedata
  884. onchip_memory2_s1_byteenable => mm_interconnect_0_onchip_memory2_s1_byteenable, -- .byteenable
  885. onchip_memory2_s1_chipselect => mm_interconnect_0_onchip_memory2_s1_chipselect, -- .chipselect
  886. onchip_memory2_s1_clken => mm_interconnect_0_onchip_memory2_s1_clken, -- .clken
  887. pio_BUTTON_s1_address => mm_interconnect_0_pio_button_s1_address, -- pio_BUTTON_s1.address
  888. pio_BUTTON_s1_readdata => mm_interconnect_0_pio_button_s1_readdata, -- .readdata
  889. pio_LED_s1_address => mm_interconnect_0_pio_led_s1_address, -- pio_LED_s1.address
  890. pio_LED_s1_write => mm_interconnect_0_pio_led_s1_write, -- .write
  891. pio_LED_s1_readdata => mm_interconnect_0_pio_led_s1_readdata, -- .readdata
  892. pio_LED_s1_writedata => mm_interconnect_0_pio_led_s1_writedata, -- .writedata
  893. pio_LED_s1_chipselect => mm_interconnect_0_pio_led_s1_chipselect, -- .chipselect
  894. pio_MATRIX_s1_address => mm_interconnect_0_pio_matrix_s1_address, -- pio_MATRIX_s1.address
  895. pio_MATRIX_s1_write => mm_interconnect_0_pio_matrix_s1_write, -- .write
  896. pio_MATRIX_s1_readdata => mm_interconnect_0_pio_matrix_s1_readdata, -- .readdata
  897. pio_MATRIX_s1_writedata => mm_interconnect_0_pio_matrix_s1_writedata, -- .writedata
  898. pio_MATRIX_s1_chipselect => mm_interconnect_0_pio_matrix_s1_chipselect -- .chipselect
  899. );
  900. irq_mapper : component nios2_uc_irq_mapper
  901. port map (
  902. clk => clk_clk, -- clk.clk
  903. reset => rst_controller_reset_out_reset, -- clk_reset.reset
  904. receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq
  905. sender_irq => nios2_irq_irq -- sender.irq
  906. );
  907. rst_controller : component altera_reset_controller
  908. generic map (
  909. NUM_RESET_INPUTS => 2,
  910. OUTPUT_RESET_SYNC_EDGES => "deassert",
  911. SYNC_DEPTH => 2,
  912. RESET_REQUEST_PRESENT => 1,
  913. RESET_REQ_WAIT_TIME => 1,
  914. MIN_RST_ASSERTION_TIME => 3,
  915. RESET_REQ_EARLY_DSRT_TIME => 1,
  916. USE_RESET_REQUEST_IN0 => 0,
  917. USE_RESET_REQUEST_IN1 => 0,
  918. USE_RESET_REQUEST_IN2 => 0,
  919. USE_RESET_REQUEST_IN3 => 0,
  920. USE_RESET_REQUEST_IN4 => 0,
  921. USE_RESET_REQUEST_IN5 => 0,
  922. USE_RESET_REQUEST_IN6 => 0,
  923. USE_RESET_REQUEST_IN7 => 0,
  924. USE_RESET_REQUEST_IN8 => 0,
  925. USE_RESET_REQUEST_IN9 => 0,
  926. USE_RESET_REQUEST_IN10 => 0,
  927. USE_RESET_REQUEST_IN11 => 0,
  928. USE_RESET_REQUEST_IN12 => 0,
  929. USE_RESET_REQUEST_IN13 => 0,
  930. USE_RESET_REQUEST_IN14 => 0,
  931. USE_RESET_REQUEST_IN15 => 0,
  932. ADAPT_RESET_REQUEST => 0
  933. )
  934. port map (
  935. reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset
  936. reset_in1 => nios2_debug_reset_request_reset, -- reset_in1.reset
  937. clk => clk_clk, -- clk.clk
  938. reset_out => rst_controller_reset_out_reset, -- reset_out.reset
  939. reset_req => rst_controller_reset_out_reset_req, -- .reset_req
  940. reset_req_in0 => '0', -- (terminated)
  941. reset_req_in1 => '0', -- (terminated)
  942. reset_in2 => '0', -- (terminated)
  943. reset_req_in2 => '0', -- (terminated)
  944. reset_in3 => '0', -- (terminated)
  945. reset_req_in3 => '0', -- (terminated)
  946. reset_in4 => '0', -- (terminated)
  947. reset_req_in4 => '0', -- (terminated)
  948. reset_in5 => '0', -- (terminated)
  949. reset_req_in5 => '0', -- (terminated)
  950. reset_in6 => '0', -- (terminated)
  951. reset_req_in6 => '0', -- (terminated)
  952. reset_in7 => '0', -- (terminated)
  953. reset_req_in7 => '0', -- (terminated)
  954. reset_in8 => '0', -- (terminated)
  955. reset_req_in8 => '0', -- (terminated)
  956. reset_in9 => '0', -- (terminated)
  957. reset_req_in9 => '0', -- (terminated)
  958. reset_in10 => '0', -- (terminated)
  959. reset_req_in10 => '0', -- (terminated)
  960. reset_in11 => '0', -- (terminated)
  961. reset_req_in11 => '0', -- (terminated)
  962. reset_in12 => '0', -- (terminated)
  963. reset_req_in12 => '0', -- (terminated)
  964. reset_in13 => '0', -- (terminated)
  965. reset_req_in13 => '0', -- (terminated)
  966. reset_in14 => '0', -- (terminated)
  967. reset_req_in14 => '0', -- (terminated)
  968. reset_in15 => '0', -- (terminated)
  969. reset_req_in15 => '0' -- (terminated)
  970. );
  971. reset_reset_n_ports_inv <= not reset_reset_n;
  972. mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv <= not mm_interconnect_0_jtag_uart_avalon_jtag_slave_read;
  973. mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv <= not mm_interconnect_0_jtag_uart_avalon_jtag_slave_write;
  974. mm_interconnect_0_pio_led_s1_write_ports_inv <= not mm_interconnect_0_pio_led_s1_write;
  975. mm_interconnect_0_pio_matrix_s1_write_ports_inv <= not mm_interconnect_0_pio_matrix_s1_write;
  976. rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset;
  977. end architecture rtl; -- of nios2_uc