nios2_uc_inst.v 948 B

123456789101112
  1. nios2_uc u0 (
  2. .clk_clk (<connected-to-clk_clk>), // clk.clk
  3. .lcd_16207_ext_RS (<connected-to-lcd_16207_ext_RS>), // lcd_16207_ext.RS
  4. .lcd_16207_ext_RW (<connected-to-lcd_16207_ext_RW>), // .RW
  5. .lcd_16207_ext_data (<connected-to-lcd_16207_ext_data>), // .data
  6. .lcd_16207_ext_E (<connected-to-lcd_16207_ext_E>), // .E
  7. .pio_button_ext_conn_export (<connected-to-pio_button_ext_conn_export>), // pio_button_ext_conn.export
  8. .pio_led_ext_conn_export (<connected-to-pio_led_ext_conn_export>), // pio_led_ext_conn.export
  9. .pio_matrix_ext_conn_export (<connected-to-pio_matrix_ext_conn_export>), // pio_matrix_ext_conn.export
  10. .reset_reset_n (<connected-to-reset_reset_n>) // reset.reset_n
  11. );